期刊文献+

一种新的小时延测试向量筛选方法 被引量:2

Novel pattern selection method for small-delay faults test
下载PDF
导出
摘要 随着集成电路工艺尺寸不断缩小,电路规模不断增大,小时延测试所需的测试向量越来越多,因此小时延测试的成本越来越高。为降低测试成本提出了一种新的小时延测试向量筛选方法。提出的方法用固定型测试向量的组合来检测小时延故障,从组合的测试集中筛选出具有高小时延故障覆盖率的测试向量。最后仅用一个测试集就能同时对固定型故障、跳变故障、小时延故障进行有效的检测,从而大大节省了向量的存储空间。在ISCAS’85和ISCAS’89基准电路上用MinTest测试集进行的实验表明,提出的方法不但有很高的小时延故障覆盖率,而且向量个数的减少最高达到61倍。 With the design of integrated circuits(ICs) scale increasing and the feature size shrinking,small-delay test becomes more difficult and more important.To simplify the small-delay test process and reduce the test cost,the paper proposes a new small-delay pattern selection method which regroups test patterns of stuck-at faults to detect the small-delay faults,and then selects the patterns that can detect effectively small-delay faults.Finally,only by using this optimizing test set,the stuck-at faults,the transition faults and the small-delay faults all can be effectively detected.The experimental results on ISCAS’85 and ISCAS’89 benchmark circuits show that the pattern selection method proposed in this paper can produce a test set of high small-delay faults coverage and reduce test data volume up to 61 times.
出处 《电子测量与仪器学报》 CSCD 2012年第3期242-247,共6页 Journal of Electronic Measurement and Instrumentation
基金 国家自然科学基金(60673085 60773207) 中国科学院计算机系统结构重点实验室开放课题
关键词 小时延故障 跳变故障 固定型故障 向量筛选 small-delay faults transition faults stack-at faults pattern selection
  • 相关文献

参考文献15

  • 1AHMED N,TEHRANIPOOR M,JAYARAM V.Timingbased delay test for screening small delay defects[C].Proceedings of Design Automation Conference,2006:320-325.
  • 2王杰,李华伟,梁华国.针对小时延缺陷的时延测试方法综述[C].第十三届全国容错计算学术会议,2009:383-390.
  • 3OPMISR B.The foundation of compressed ATPG vec-tors[C].In Proceedings of the IEEE International TestConference,2001:748–757.
  • 4DEVTA-PRASANNA N,GOEL S K,GUNDA A,et al.Accurate Measurement of Small Delay Defect Coverageof Test Patterns[C].International Test Conference,2009:1-10.
  • 5PUTMAN R,GAWDE R.Enhanced Timing—BasedTransiti-on Delay Testing for Small Delay Defects[C].Proceedings of VLSI Test Symposium,2006:336-342.
  • 6许川佩,胡红波.基于量子粒子群算法的SOC测试调度优化研究[J].仪器仪表学报,2011,32(1):113-119. 被引量:28
  • 7欧阳一鸣,刘军,梁华国,黄喜娥.基于位跳变相容的多扫描链压缩方法[J].电子测量与仪器学报,2011,25(7):654-660. 被引量:4
  • 8俞洋,彭喜元,张毅刚.基于重复子向量的测试数据压缩算法[J].仪器仪表学报,2009,30(2):356-361. 被引量:15
  • 9LIN X,TSAI K,WANG C,et al.Timing-Aware ATPGfor High Quality At-speed Testing of Small Delay De-fects[C].Proceedings of Asian Test Symposium,2006:139-146.
  • 10YILMAZ M,CHAKRABARTY K,TEHRANIPOOR M,et al.Test-Pattern Grading and Pattern Selection forSmall Delay Defects[C].Proceedings of VLSI TestSymposium,2008:233-239.

二级参考文献43

  • 1汪滢,王宏,李辛毅.基于遗传算法的SOC测试功耗与时间协同优化[J].仪器仪表学报,2006,27(z3):2327-2328. 被引量:4
  • 2胡瑜,韩银和,李晓维.SOC可测试性设计与测试技术[J].计算机研究与发展,2005,42(1):153-162. 被引量:42
  • 3胡兵,陈光,谢永乐.基于变移霍夫曼编码的SOC测试数据压缩[J].仪器仪表学报,2005,26(11):1114-1118. 被引量:8
  • 4王冠军,马光胜,刘晓晓,王茂励.一种基于智能蚁群算法的SOC芯核测试调度方法[J].哈尔滨工程大学学报,2006,27(B07):514-517. 被引量:1
  • 5KHOCHE A, RIVOIR J. I/O bandwidth bottleneck for test :Is it real[ C ]. IEEE TRP International Test Conference, 2000:2.3-1-2.3-6.
  • 6CHANDRA A, CHAKRABARTY K. System-on-a-chip test-data compression and decompression architectures based on golomb codes [ J ]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2001,20 ( 3 ) : 355-368
  • 7CHANDRA A, CHAKRABARTY K. Frequency-directed run length (FDR) codes with application to system-on-achip test data compression[ C]. Proc. of IEEE VLSI Test symposium, Marina Del Rey, Ca, 2001:42-47.
  • 8JAS A, GHOSH-DASTIDAR J, NG, et al. An efficient test vector compression scheme using selective Huffman coding[ J]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2003, 22 (6): 797 -806.
  • 9GONCIARI P T, A1-HASHIMI. Variable-length input Huffman coding for system-on-a-chip test [ J ]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2003,22(6) :783-796.
  • 10HSU F, BUTLER K, PATEL J. A case study on the implementation of the Illinois scan architecture [ C ]. Proceedings of International Test Conference, Baltimore, MD, 2001:538-547.

共引文献43

同被引文献20

  • 1黄海林,唐志敏,许彤.龙芯1号处理器的故障注入方法与软错误敏感性分析[J].计算机研究与发展,2006,43(10):1820-1827. 被引量:31
  • 2傅忠传,陈红松,崔刚,杨孝宗.处理器容错技术研究与展望[J].计算机研究与发展,2007,44(1):154-160. 被引量:36
  • 3AHMED N ,TEHRANIPOOR M, JAYARAM V. Timing- based delay test for screening small delay defects [ C ]. Proc. of IEEE Design Automation Conf., 2006: 320-325.
  • 4PARK E S , MERCER M R. An efficient delay test gen- eration system for combinational logic circuits [ J ]. Com- puter-Aided Design of Integrated Circuits and Systems, 1992.11:926-938.
  • 5SATO Y, HAMADA S, MAEDA, T et al. Invisible delay quality-SDQM model lights up what could not be seen [ C ]. Proc. International Test Conf. , 2005 : 926-938.
  • 6FU X, LI H W, LI X W . Testable path selection and grouping for faster than at-speed testing [ J ]. Very Large Scale Integration ( VLSI ) Systems, 2012, 20 ( 2 ) : 236 -247.
  • 7OPMISR B. The foundation of compressed ATPG vectors[ C]. Proceedings of the IEEE International Test Confer- ence, 2001: 748-757.
  • 8GIRARD B A , PRAVOSSOUDOVICH P , BERNARDI S , et al. An efficient fault simulation technique for tran- sition faults in non-scan sequential circuits [ J ]. Design and Diagnostics of Electronic Circuits & Systems, 2009: 50-55.
  • 9CZUTRO A, HOUARCHE N, ENGELKE P, et al. A simulator of small-delay faults caused by resistive-open defects[ C ]. Proc. 13th European Test Symp. , 2008: 113-118.
  • 10HIGAMI Y, TAKAHASHI I-l, KOBAYASHI S, et al. Fault simulation and test generation for clock delay faults [ C ]. Proc. Asia and South Pacific Design Automation Conf. , 2011:799-805.

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部