期刊文献+

岛式FPGA芯片布局布线改进的实现 被引量:1

Implementation of Placement and Routing Improvement for Island-Style FPGA Chips
下载PDF
导出
摘要 针对FPGA布局过程与布线过程连接松散的问题,开发了一款改进的布局布线工具(IVPR).在布局过程中考虑了逻辑模块的引脚方向,以建立更准确的延迟预测,并预测逻辑模块在布线阶段使用的引脚方向,从而选择合适的延时值,使得布局与布线的结合更有效.针对高扇出线网,在布局过程中加入了线网终端对齐,并在布线阶段优先采用长线连接.以岛式FPGA芯片VS1000为例进行实验,结果表明,与经典的布局布线工具VPR相比,IVPR的电路延时降低了16.4%,布线资源利用率提高了1.9%. In order to overcome the loose coupling between FPGA(Field Programmable Gate Array) placement and routing,an improved placement and routing tool named IVPR is exploited.In IVPR,the directions of logic block pins are considered during the placement to perform a more precise delay forecast,and the possible directions of logic block pins during the routing are predicted to choose an appropriate delay.Thus,the combination between the placement and the routing becomes more effective.Moreover,for the high fanout in the netlist,the net terminal alignment is employed during the placement and the longline-priority strategy is adopted during the routing.Tested results on an island-style FPGA chip VS1000 show that,as compared with the typical placement and routing tool VPR,IVPR reduces the circuit delay by 16.4% and increases the routing resource utilization by 1.9%.
出处 《华南理工大学学报(自然科学版)》 EI CAS CSCD 北大核心 2012年第6期16-21,共6页 Journal of South China University of Technology(Natural Science Edition)
基金 "核高基"国家科技重大专项(Y1GZ212002)
关键词 现场可编程门阵列 布局 布线 延时预测 线网终端对齐 field programmable gate array placement routing delay forecast net terminal alignment
  • 相关文献

参考文献14

  • 1Kuon Ian, Rose Jonathan. Measuring the gap between FP- GAs and ASICs [ J ]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2007,26 ( 2 ) : 203-215.
  • 2Lee Sang-Joon,Raahemifar Kaamran. FPGA placement optimization methodology survey [ C]//Proceedings of Canadian Conference on Electrical and Computer Engi- neering. Ottawa : IEEE, 2008 : 1981 - 1986.
  • 3Vorwerk Kristofer, Kennings Andrew, Greene Jonathan W. Improving simulated annealing-based FPGA placement with directed moves [ J ]. IEEE Transactions on Compu- ter-Aided Design of Integrated Circuits and Systems, 2009,28 (2) : 179-192.
  • 4刘战,须自明,王国章,于宗光.一种用于FPGA布局的模拟退火算法[J].微计算机信息,2007,23(05Z):184-186. 被引量:1
  • 5Huang D J H, Kahng A B. Partitioning-based standard-cell global placement with an exact objective [ C]//Procee- dings of International Symposium on Physical and Design. New York : ACM, 1997 : 18-25.
  • 6Sigl G,Do11 K,Johannes F. Analytical placement :a linear or a quafratic objective function [ C ]//Proceedings of the 28th ACM/IEEE Design Automation Conference. San Fran- cisco : IEEE, 1991:427-432.
  • 7Yang M, Almaini A E A, Wang L, et al. FPGA placement using genetic algorithm with simulated annealing [ C ]// Proceedings of the 6th International Conference on ASIC. Shanghai : IEEE,2005 : 808- 811.
  • 8赵军,贾智平.蚁群与粒子群混合的FPGA布局算法[J].计算机工程与应用,2009,45(18):70-71. 被引量:2
  • 9隋文涛,董社勤,边计年.岛式FPGA线长驱动快速布局算法[J].计算机辅助设计与图形学学报,2009,21(9):1275-1282. 被引量:5
  • 10Lee C Y. An algorithm for path connections and its app- lications [ J ]. IRE Transactions on Electronic Compu- ters, 1961,10 (3) : 346-365.

二级参考文献28

  • 1杨守良.Matlab/simulink在FPGA设计中的应用[J].微计算机信息,2005,21(08Z):98-99. 被引量:26
  • 2赵长虹,陈建,周电,周晓方,孙劼.基于权重的超大规模集成电路布图规划算法[J].计算机辅助设计与图形学学报,2006,18(7):994-998. 被引量:6
  • 3Betz V,Rose J.VPR:A new packing,placement and routing tool for FPGA research[C]//Proc of the Seventh Field Programmable Logic Applications, 1997 : 213-222.
  • 4Yang M,Almaini A E A,Wang L,et al.An evolutionary approach for symmetrical field programmable gate array placement[J].IEEE, 2005, 1 : 169-172.
  • 5Yang M,Almaini A E A,Wang L,et al.FPGA placement using genetic algorithm with simulated annealing[J].IEEE, 2005,2 : 806-810.
  • 6Xu Wen-yao,Xu Ke-jun,Xu Xin-min.A novel placement algorithm for symmetrical FPGA[J].IEEE,2007:1281-1284.
  • 7Gudise V G,Venayagamoorthy G K.FPGA placement and routing using particle swarm optimization[J].IEEE,2004:307-308.
  • 8Venayagamoorthy G K,Gudise V G.Swarm intelligence for digital circuits implementation on field programmable gate arrays platforms[J].IEEE, 2004: 83-86.
  • 9Areibi S,Grewal G,Banerji D,et al.Hierarchical FPGA placement[J]. IEEE, 2007,32 : 53-64.
  • 10Banerjee P,Sur-Kolay S.Faster placer for island-style FPGAs[J]. IEEE, 2007 : 117-121.

共引文献5

引证文献1

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部