期刊文献+

基于数据预取的多核处理器末级缓存优化方法 被引量:4

Data Prefetching Based Last-Level Cache Optimization for Chip Multiprocessors
下载PDF
导出
摘要 末级缓存的性能已成为影响多核处理器整体性能的关键因素.基于多核处理器在处理并行程序时各处理器核访存行为的相似性,提出一种降低访存缺失率的数据预取方法.首先记录各处理器核的访存缺失历史;然后通过分析历史信息预测各处理器核之间末级缓存缺失的关联关系,采用数据预取的方式,在处理器核出现读缺失之前为其末级缓存提供数据块.实验结果表明,对于4核和16核处理器系统,该方法可以分别降低末级缓存缺失率9.8%和18.4%,提高性能4.0%与12.4%. The performance of last-level cache (LLC) has become a key factor affecting the overall performance of chip multiprocessors (CMP). Based on the LLC miss behaviorsimilarity among different nodes of the CMP system that processes parallel workloads, a data prefetching meehanism is proposed to significantly reduce the LLC miss rate. This paper starts from tracing the LLC miss behaviors, which is then utilized to identify the inter-core load miss correlation. In case of an load miss, if it is predieted to be followed by any further successive load misses of other nodes, the data block is simulteanously forwarded to the potential missing nodes. Experimental results demonstrate that, the proposed mechanism reduces the LLC miss rate by 9.8% and 18.4%, while improves the overall performance by 4.0% and 12.4% when eonsidering 4-core and 16-eore CMP systems.
出处 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2012年第9期1241-1248,共8页 Journal of Computer-Aided Design & Computer Graphics
基金 国家"九七三"重点基础研究发展计划项目(2011CB302503) 国家自然科学基金(61076018 60803031 60921002)
关键词 多核处理器 末级缓存 并行程序 数据预取 chip multiprocessor (CMP) last-level cache (LLC) parallel workload data prefetching
  • 相关文献

参考文献17

  • 1Wilkes M V. The memory wall and the CMOS end-poins[J]. ACM SIGARCH Computer Architecture News, 1995, 23(4) : 4-6.
  • 2Wulf W A, McKee S A. Hitting the wall: implications of the obvious[J]. ACM SIGARCH Computer Architecture News, 1995, 23(1): 20-24.
  • 3Beckmann B M, Wood D A. Managing wire delay in large chip-multiprocessor caches [C] //Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture. Washington D C: IEEE Computer Society Press, 2004:319-330.
  • 4Kongetira P, Aingaran K, Olukotun K. Niagara: a 32-way multithreaded sparc processor [J]. IEEE Micro, 2005, 25 (2) : 21-29.
  • 5Kim C, Burger D, Keckler S W. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches [J]. ACM SIGARCH Computer Architecture News, 2002, 30 (5) : 211-222.
  • 6Chang J C, Sohi G S. Cooperative caching for chip multiprocessors [J]. ACM SIGARCH Computer Architecture News, 2006, 34(2): 264-276.
  • 7Herrero E, Gonzalez J, Canal R. Distributed cooperative caching [C] //Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. New York.. ACM Press, 2008:134-143.
  • 8Beckmann B M, Marty M R, Wood D A. ASR: adaptive selective replication for CMP caches [C] //Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. Washington D C: IEEE Computer Society Press, 2006: 443-454.
  • 9Shan S C, Hu Y, Li X W. Transparent dynamic binding with fault -tolerant cache coherence protocol for chip multiprocessors [C] //Proceedings of the 41st IEEE]IFIP International Conference on Dependable Systems and Networks. Washington D C: IEEE Computer Society Press, 2011:291-302.
  • 10尹巍,吴俊敏.多核处理器中最后一级共享高速缓存管理策略研究[D].合肥:中国科学技术大学,2011.

二级参考文献8

  • 1Kunle O K,Basem A N,Hammond L,et al.The Case for a Single-chip Multiprocessor[C]//Proc.of the 7th International Conference on Architectural Support for Programming Languages and Operating Systems,New York.1996-10-02.
  • 2Tullsen D M,Eggers S J,Levy H M.Simultaneous Multithreading:Maximizing On-chip Parallelism[C]//Proc.of the 22nd Ann.Int'l Symp.on Computer Architecture.1995:392-403.
  • 3Kahle J A.Introduction to the Cell Multiprocessor[J].IBM Journal Res.& Dev.,2005,49(4/5):589-604.
  • 4Kongetira P.A 32-Way Multithreaded SPARC Processor[J].IEEE Micro,2005,25(2):21-29.
  • 5Barroso L A.Piranha:a Scalable Architecture Based on Single-chip Multiprocessing[C]//Proc.of Int'l Symp.on Computer Architecture.2000:165-175.
  • 6Kalla R.IBM Power5 Chip:A Dual-core Multithreaded Processor[J].IEEE Micro,2004,24(2):40-47.
  • 7McNairy C,Bhatia R.Montecito:A Dual-core,Dual-thread Itanium Processor[J].IEEE Micro,2005,25(2):10-20.
  • 8Hammond L.The Stanford Hydra CMP[J].IEEE Micro,2000,20(2):71-84.

共引文献24

同被引文献52

  • 1张祯,袁爱东,高剑刚.服务器内存数据保护技术浅析[J].硅谷,2008,1(12). 被引量:3
  • 2易会战,杨学军.高性能微处理器的微体系结构能量有效性[J].计算机学报,2004,27(7):874-880. 被引量:2
  • 3Schroeder B, Pinheiro E, Weber W D. DRAM errors in the wild: a large scale /ield study [C] //Proceedings of the llth International Joint Conference ott Measurement and Modeling of Computer Systems. New York: ACM Press, 2009: 193- 204.
  • 4Lee I, Basoglu M, Sullivan M, et al. Survey of error and fault detection mechanisms [D]. Austin: The University of Texas at Austin. Department of Electrical and Computer Engineering, 2011.
  • 5Mukati A. A survey of memory error correcting techniques for improved reliability [J]. Journal of Network and Computer Applications, 2011, 34(2): 517-522.
  • 6Dell T J. A white paper on the benefits of chipkill correct ECC for PC server main memory [M]. Endicott, U. S:IBM Microelectronics Division, 1997.
  • 7Locklear D. Chipkill correct memory architecture [OL]. [2013 08-13]. bttp://www, ece. umd. edu/courses/enee759h. S2003]references/chipkill. pdf.
  • 8Hewlett-Packard. HP advanced memory protection technologies[OE]. [2013-08-13]. ftp://ftp, hp. com/pub/c- products/servers/options/c00256943, pdf.
  • 9Sadler N N, Sorin D J. Choosing an error protection scheme for a microprocessor's L1 data cache [C] //Proceedings of International Conference on Computer Design. Los Alamitos: IEEE Computer Society Press, 2006:499-505.
  • 10Udipi A N, Muralimanohar N, Balsubramonian R, et al. LOT-ECC: localized and tiered reliability mechanisms for commodity memory systems [C] //Proceedings of the 39th Annual International Symposium on Computer Architecture. Los Alamitosz IEEE Computer Society Press, 2012: 285-296.

引证文献4

二级引证文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部