期刊文献+

一种带有无效缓存路访问过滤机制的低功耗高速缓存

A Low Power Cache Architecture with Filtering Unnecessary Way Accesses
下载PDF
导出
摘要 功耗是当今处理器设计领域的重要问题之一.随着多核处理器的普及,片上缓存占有了越来越多的芯片面积和功耗.提出一种带有无效缓存路访问过滤机制的低功耗高速缓存结构来降低CPU的动态功耗,具体为,通过无效缓存块的预先检查(Pre-Invalid Way Checking,PIWC)消除对无效缓存路的访问,及通过不匹配缓存路的预先检测(Pre-Mismatch Way Detecting,PMWD)消除对tag低位不匹配缓存路的访问.对实际程序的测试表明,65.2%-88.9%缓存路的无效访问可以通过以上方法被消除,约60.9%-85.6%由缓存访问带来的动态能耗从而被降低.同时,跟tag-data顺序访问方法相比,对于大多数程序,我们的方法可以获得5.1%-13.8%的节能效果提升. Power has been a big issue in processor design for several years.As caches account for more and more CPU die area and power,in this paper,we propose a low power cache architecture with filtering unnecessary way accesses,which eliminates invalid way accesses by pre-invalid way checking(PIWC) and mismatch way accesses by pre-mismatch way detecting(PMWD) to reduce dynamic CPU power.Our evaluations show that,65.2%-88.9% unnecessary way accesses can be eliminated by PIWC and PMWD methods for real applications.And approximately 60.9%-85.6% energy caused by dynamic power of cache accesses can be reduced shown by our experimental results.Also,comparing to sequential tag-data access method,we can achieve 5.1%-13.8% improvement for most of the benchmarks.
出处 《小型微型计算机系统》 CSCD 北大核心 2012年第10期2231-2236,共6页 Journal of Chinese Computer Systems
基金 国家"九七三"重点基础研究发展计划项目(2011CB302501)资助 国家杰出青年科学基金项目(60925009)资助 国家自然科学基金创新研究群体科学基金项目(60921002)资助 国家自然科学基金青年基金项目(61100013)资助 北京市科技新星计划项目(2010B058)资助 华为课题(YBCB2011030)资助
关键词 组相联缓存 动态功耗 无效缓存路检查 不匹配缓存路检测 set-associative cache dynamical power invalid way checking mismatch way detecting
  • 相关文献

参考文献18

  • 1Le Sueur, Etienne, Gemot Heiser. Dynamic voltage and frequency scaling: the laws of diminishing returns[ C]. In Proceedings of the 2010 Workshop on Power Aware Computing and Systems, Van- couver, Canada, October 2010.
  • 2Shekhar Borkar, Andrew A Chien. The future of microprocessors [J]. Communications of the ACM, 2011,54(5) :67-77.
  • 3Wendel D, Kalla R, Cargoni R, et al. The implementation of POWER7TM: a highly parallel and scalable multi-core high-end server processor[ C]. IEEE International Solid-State Circuits Con- ference Digest of Technical Papers, 7-11 Feb. 2010: 102-103.
  • 4Kurd N A, Bhamidipati S, Mozak C, et al. Westmere: a family of 32nm IA processors [ C ]. IEEE International Solid-State CircuitsConference Digest of Technical Papers, 7-11 Feb. 2010: 96-97.
  • 5Hadi Esmaeilzadeh, Emily Blem, Renee St Amant, et al. Dark sil- icon and the end of multicore scaling [ C ]. In Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA'11), New York, USA, 2011:365-376.
  • 6Naveen Muralimanohar, Rajeev Balasubramonian, Norman P Joup- pi. Cacti 6. 0 : a tool to understand large caches [ R ]. In HP Re- search Report, 2007.
  • 7Rajesh Kannan Megalingam, Deepu K B, Iype P Joseph, et al. Phased set associative cache design for reduced power consumption [ C]. In Proceedings of the 2rid IEEE International Conference on Computer Science and Information Technology, 2009:551-556.
  • 8Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras. Applying decay to reduce dynamic power in set-associative caches [ C]. In Proceedings of the 2nd International Conference on High Performance Embedded Architectures and Compilers (HiPEAC' 07), 2007.
  • 9张浩,林伟,周永彬,叶笑春,范东睿.通用处理器的高带宽访存流水线研究[J].计算机学报,2009,32(1):142-151. 被引量:6
  • 10Zhang Chuan-jun, Frank Vahid, Yang Jun, et al. A way-halting cache for low-energy high performance systems [ C ]. In Interna- tional Symposium on Low Power Eleclonics and Design (IS- LPED'04) , 2004:34-54.

二级参考文献12

  • 1Wei-WuHu Fu-XinZhang Zu-SongLi.Microarchitecture of the Godson-2 Processor[J].Journal of Computer Science & Technology,2005,20(2):243-249. 被引量:52
  • 2Hennessy J L, Patterson D A. Computer architecture: a quantitative approach. 3rd ed. San Francisco, CA, USA: Kaufman, 2002
  • 3Segars S. Low power design techniques for microprocessors. Proceedings of IEEE International Solid-State Circuits Conference, Feb 5-6, 2001, San Francisco, CA, USA. 2001:4-10
  • 4Albonesi D H. Selective cache ways: on-demand cache resource allocation. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture (MICRO-32), Nov 16-18, 1999, Haifa, Israel. 1999:248-259
  • 5Yang C L, Lee C H. Hotspot cache: joint temporal and spatial locality exploitation for I-cache energy reduction. Proceedings of the 2004 International Symposium on Low Power Electronics and Design (ISLPED'04), Aug 9-11, 2004, Newport Beach, CA, USA. 2004: 114-119
  • 6Balasubramonian R, Albonesi D H, Buyuktosunoglu A, et al. A dynamically tunable memory hierarchy. IEEE Transactions on Computers, 2003, 52(10): 1243-1258
  • 7Zhang C J, Vahid F, Yang J, et al. A way-halting cache tot low-energy high-performance systems. Proceedings of the 2004 International Symposium on Low Power Electronics and Design (ISLPED'04), Aug 9-11, 2004, Newport Beach, CA, USA. 2004:126-131
  • 8Gordon-Ross A, Viana P, Vahid F, et al. A one-shot configurable-cache tuner for improved energy and performance. Proceedings of 2007 Design, Automation and Test in Europe Conference and Exhibition (DATE'07), Apt 16-20, 2007, Nice Acropolis, France. 2007:755-760
  • 9Gordon-Ross A, Viana P, Vahid F, et al. A one-shot configurable-cache tuner for improved energy and performance. Proceedings of 2007 Design, Automation and Test in Europe Conference and Exhibition (DATE'07), Apt 16-20, 2007, Nice Acropolis, France. 2007:755-760
  • 10Mattson R L, Gecsei J, Slutz D R, et al. Evaluation techniques for storage hierarchies. IBM Systems Journal, 1970, 9(2): 78-117

共引文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部