期刊文献+

基于FPGA控制的开关电源的仿真与设计 被引量:3

Simulation and Design of High Power Switching Supply Based on FPGA
下载PDF
导出
摘要 传统的开关电源数字设计方案大多基于单片机、DSP控制,但在实现PID控制算法的过程中,使用软件设计常会带来程序跑飞的严重后果。FPGA的高速和硬件支持,使得实施PID等控制比软件模拟出来的效果更为优秀,还能克服程序跑飞。设计采用MATLAB-SIMULINK,首先进行软件仿真、分析并测试其可行性,然后利用ALTERA公司的DSP builder将仿真模块生成FPGA硬件描述语言,实现PWM波和PID的设计。在控制方面,定制的NIOS软核起到了MCU的作用。利用VHDL语言实现ADC0809状态机控制。最后设计出各个功能模块的电路以及整个系统图和相关的NIOS控制程序流程图。设计的以EP2C35F672C8为控制核心的开关电源系统,利用软件仿真模型生成硬件代码语言,在FPGA中实现,双重保证了设计的可行性,实现了较理想的闭环控制效果。 Traditional switching power digital design is mostly based on the MCU, DSP control, but using the software design process PID control algorithm is often accompanied by serious consequences runaway. FPGA has the characteristics of high-speed and hardware support, making it out of the implementation of the PID control, the effect is more outstanding, but also to overcome the program running out. This design first used MATLAB-SIMU- LINK software to simulate, analyzed and tested its feasibility, generated the FPGA hardware description language which used the simulation module of DSP builder provided by ALTERA company to achieve the design of the PWM wave and PID. In terms of control, custom NIOS soft-core plays a role of MCU, using the VHDL language to realize the state machine control of ADC0809, finally to design each function module circuit and the system diagram and the flow chart of NIOS control program. This design takes EP2C35F672C8 as the control core of the switching pow- er supply system using software simulation model to generate the hardware code language to be implemented in FP- GA, double guarantee the feasibility of the design to achieve the ideal closed-loop control.
出处 《科学技术与工程》 北大核心 2012年第31期8281-8286,共6页 Science Technology and Engineering
关键词 开关电源PID控制PWM波 FPGA MATLAB switching power supply PID control PWM wave FPGA MATLAB
  • 相关文献

参考文献7

  • 1长谷川彰.开关稳压电源的设计与应用.何希才,译.北京:科学出版社.2006:56-121.
  • 2陆重阳,卢东华.FPGA技术及其发展趋势[J].微电子技术,2003,31(1):5-7. 被引量:7
  • 3Joao Lima,Ricardo M,Cardoso J M P,et al. A methodology to designFPGA-based PID controllers. The IEEE International Conference onSystems,Man and Cybernetics,Taipei,2006 : 2577~2583.
  • 4付学志,姚旺生,苟伟,谭斌.基于FPGA的高速PID控制器设计与仿真[J].电子技术应用,2007,33(1):87-89. 被引量:16
  • 5包明,包奎.实现PWM脉宽调制的FPGA芯片研制[J].电工技术,2003(6):30-31. 被引量:7
  • 6詹仙宁.VHDL开发精解与实例剖析.北京:电子工业出版社.2011:70-85.
  • 7李兰英.NiosII嵌人式软核SOPC设计原理及应用.北京:北京航空航天大学出版社.2007:30-50.

二级参考文献3

共引文献28

同被引文献20

引证文献3

二级引证文献6

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部