期刊文献+

TSV结构热机械可靠性研究综述 被引量:22

Review on the Thermal Mechanical Reliability of TSV Structures
下载PDF
导出
摘要 硅通孔(TSV)结构是三维电路集成和器件封装的关键结构单元。TSV结构是由电镀铜填充的Cu-Si复合结构,该结构具有Cu/Ta/SiO2/Si多层界面,而且界面具有一定工艺粗糙度。TSV结构中,由于Cu和Si的热膨胀系数相差6倍,致使TSV器件热应力水平较高,引发严重的热机械可靠性问题。这些可靠性问题严重影响TSV技术的发展和应用,也制约了基于TSV技术封装产品的市场化进程。针对TSV结构的热机械可靠性问题,综述了国内外研究进展,提出了亟需解决的若干问题:电镀填充及退火工艺过程残余应力测量、TSV界面完整性的量化评价方法、热载荷和电流作用下TSV-Cu的胀出变形计算模型问题等。 Through silicon via(TSV)is a key structural element in three dimensional circuit integration and device packaging.TSV is a composite structure fabricated by process filling electroplated copper into etched silicon via,it consists of Cu/Ta/SiO2/Si multiple interfaces with roughness formed in the via etching process.In the TSV structure,sixfold difference in coefficient of thermal expansion between copper and silicon leads to high thermal stress and related mechanical reliability issues,which block the application and development of the TSV technology,restrict it marketzation.The thermal mechanical reliability aspects of the TSV structure are reviewed,and some research works need to be conducted in the future are suggested.Those works include measurement of residual stress generated in the copper filling and annealing process,assessment of TSV interfacial integrity,computational model of TSV-Cu protrusion under thermal and electric current loads.
出处 《半导体技术》 CAS CSCD 北大核心 2012年第11期825-831,共7页 Semiconductor Technology
基金 国家自然科学基金项目(11272018) 中国TSV技术攻关联合体第1期课题 北京市教委科技创新平台项目(PXM2012_014204_00_000169)
关键词 硅通孔 可靠性 热失配 应力 界面完整性 through silicon via(TSV) reliability thermal mismatch stress interfacial integrity
  • 相关文献

参考文献38

  • 1LAU H T. Overview and outlook of through-silicon Via ( TSV ) and 3D integrations [J]. Microelectronics International, 2011, 28 (2): 8-22.
  • 2邓丹,吴丰顺,周龙早,刘辉,安兵,吴懿平.3D封装及其最新研究进展[J].微纳电子技术,2010,47(7):443-450. 被引量:20
  • 3封国强,蔡坚,王水弟.硅通孔互连技术的开发与应用[J].电子与封装,2006,6(11):15-18. 被引量:8
  • 4WU B Q, KUMAR A, PAMARTHY S. High aspect ratio silicon etch: a review [ J]. Journal of Applied Physics, 2010, 108 (5): 1101-1120.
  • 5HONG S C, LEE W G, KIM W J, et al. Reduction of defects in TSV filled with Cu by high-speed 3-step PPR for 3D Si chip stacking [J]. Microelectronics Reliability, 2011, 51 (12): 2228-2235.
  • 6LAU J H, SOON W H, KUMAR A, et al. Fabrication of high aspect ratio TSV and assembly with fine-pitch low- cost solder microbump for Si interposer technology with high-density interconnects [J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2011, 1 (9): 1336-1344.
  • 7朱福运,于民,金玉丰,张海霞.硅DRIE刻蚀工艺模拟研究[J].中国电子科学研究院学报,2011,6(1):28-30. 被引量:4
  • 8RANGANATHAN N, PRASAD K, BALASUBRAMANIAN N, et al. A study of thermo-mechanical stress and its impact on through-silicon vias [ J ]. Journal of Micromechanics and Microengineering, 2008, 18 ( 7 ) : 5018 - 5030.
  • 9FENG X, CAO I-I Y, YU H, et al. Study of internal stress on electroplating copper used in through silicon via filling [C] //// Proceedings of the 2011 InternationalConference on Electronic Packaging Technology and High Density Packaging. Shanghai, China, 2011: 1018- 1021.
  • 10KWON W S, ALASTAIR T D, TEO K H, et al. Stress evolution in surrounding silicon of Cu-filled through- silicon via undergoing thermal annealing by multi- wavelength micro-Raman spectroscopy [ J]. Applied Physics Letters, 2011, 98 (23): 232106-232108.

二级参考文献18

  • 1廖凯.堆叠/3D封装的关键技术之一——硅片减薄[J].中国集成电路,2007,16(5):79-81. 被引量:8
  • 2陆军.3D封装[J].集成电路通讯,2005,23(4):41-47. 被引量:4
  • 3JIANG T, LUO S J. 3D integration-present and future [C] // Proceedings of the 10^th Electronics Packaging Technology Conference. Singapore, 2008:373 - 378.
  • 4NAVAS K, VEMPATI S R, LIM S, et al. Development of 3D silicon module with TSV for system in packaging [ C ]///Proceedings of the 58^th Electronic Components and Technology Conference. Lake Buena Vista, FL, USA, 2008:550 -555.
  • 5VARDAMAN E J. 3D IC infrastructure status and issues [ C ]//Proceedings of 2010 Electronic System-Integration Technology Conference. Berlin, Germany, 2010.
  • 6KUO T Y, CHANG S M, SHIH Y C, et al. Reliability tests for a three dimensional chip stacking structure with through silicon via connections and low cos[ C] //Proceeings of the 58^th Electronic Components and Technology Conference. Lake Buena Vista, FL, USA, 2008:853-858.
  • 7ONGY Y, CHAITC, YU D Q, et al. Assembly and reliability of micro-bumped chips with through-silicon vias (TSV) interposer[C]//Proceeings of the 59^th Electronic Components and Technology Conference. Singapore, 2009 : 452 - 458.
  • 8SELVANAYAGAM C S, LAU J H, ZHANG X W, et al. Nonlinear thermal stress/strain analyses of copper filled TSV (through silicon via ) and their flip-chip microbumps[ J ]. IEEE Trans on Advanced Packaging, 2009, 32 (4):720.
  • 9LU K H, ZHANG X F, RYU S K, et al. Thermomechanical reliability of 3-D ICs containing through silicon via [ C ] // Proceedings of the 59^th Electronic Components and Technology Conference. San Diego, CA, USA, 2009: 630-634.
  • 10JESD22-AI04C, Temperature cycling [ S ]. JEDEC Solid State Technology Association, 2005.

共引文献28

同被引文献121

引证文献22

二级引证文献49

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部