期刊文献+

一种新的8B/10B编解码设计 被引量:3

New kind of 8B/10B encoding and decoding design
下载PDF
导出
摘要 在分析8B/10B编解码规则和输入信号与对应数据间逻辑关系的基础上,提出了一种新的编解码和游程值计算方法,完成了编解码电路的可综合Verilog HDL语言设计,并在Quartus Ⅱ和ModelSim软件环境下实现了电路综合及仿真。仿真结果表明,该方法与现有8B/10B编解码方案相比,最大工作频率显著提高,资源占用相对较少且可靠性得到增强。 Based on the analysis of the rules of 8B/10B encoding and decoding and the logical relationship be- tween the input signals and the corresponding data,the new methods for encoding and decoding and to calcu- late the run length are put forward.The algorithm of encoding and decoding are completed with synthesizable Verilog HDL and the simulations were achieved in QuartuslI and ModelSim software environments.The sim- ulation results show that the proposed method has the performance of the improved maximum working fre- quency, the less consumption resource and the enhanced reliability.
出处 《光通信技术》 CSCD 北大核心 2012年第12期52-54,共3页 Optical Communication Technology
基金 南通大学"创新人才基金"资助 南通市应用研究计划项目(BK2012019)
关键词 8B 10B Veri LOG HDL FPGA 8B/IOB Verilog HDL FPGA
  • 相关文献

参考文献9

二级参考文献39

共引文献56

同被引文献19

引证文献3

二级引证文献9

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部