期刊文献+

后摩尔时代集成电路的新器件技术 被引量:12

New device technologies of integrated circuit in post-Moore era
原文传递
导出
摘要 随着大规模集成电路(IC)技术的持续发展,来自短沟效应、量子隧穿以及寄生效应等问题的挑战使得传统微电子器件技术越来越难以满足IC技术持续推进的要求,特别是日益严峻的能耗问题已经成为延续摩尔定律的最大瓶颈,在后摩尔时代采用新器件技术成为必然.面向不同集成电路应用如何发展适合未来微电子产业需求的纳米尺度新器件成为当前热点问题.本文结合新结构器件、新原理器件和新材料的引入介绍适于大规模集成的纳米尺度新器件技术,包括FinFET器件、超薄体SOI器件、准SOI器件、多栅/围栅硅纳米线器件、超陡亚阈摆幅器件、高迁移率沟道器件等,分析相关器件优势、存在问题、可能解决方案及应用前景等,并讨论后摩尔时代新器件技术路线图,给出相关预测. With the continuous development of large scale integrated circuit(IC) technology,challenges resulting from short channel effects,quantum tunneling and parasitic effects make it increasingly difficult for conventional microelectronic device technology to fulfill the demand of sustaining progressing of IC technology node,and especially the increasingly serious problem of energy consumption has been the greatest bottleneck in continuing Moore's Law,making it inevitable to take advantage of new device technology in post-Moore's era.Therefore,it has become a hot issue that how to develop nanoscale new devices to meet the future demands of microelectronic industry in different IC applications.In this paper,we introduce new device technologies from viewpoints of new structure,new principle and new material,including FinFET,UTB SOI devices,quasi-SOI devices,MultiGate/Gate-All-Around silicon nanowire devices,super steep sub-threshold swing devices and high mobility channel devices.Advantages,existing problems,potential solutions and application prospect of these devices are analyzed,and a roadmap for new device technology in post-Moore era is discussed.
出处 《中国科学:信息科学》 CSCD 2012年第12期1529-1543,共15页 Scientia Sinica(Informationis)
关键词 后摩尔时代 纳米电子器件 新结构 新原理 新材料 技术路线图 post-Moore era nanoelectronic device new structure new principle new material technology roadmap
  • 相关文献

参考文献43

  • 1Moore G E. Progress in digital integrated electronics. In: International Electron Devices Meeting (IEDM'75), Wash- ington, 1975. 11-13.
  • 2Dennard R H, Gaensslen F H, Kuhn L, et al. Design of micron MOS switching devices. In: International Electron Devices Meeting (IEDM'72), Washington, 1972. 168-170.
  • 3Iwai H, Ohmi S. Trend of CMOS downsizing and its reliability. In: Microelectron Reliab, 2002, 42:1251-1258.
  • 4MShima K O, Yamaguchi A, Sakoda T, et al. High-performance low operation power transistor for 45 nm node universal applications. In: Symposium on VLSI Technology(VLSI'06), Honolulu, 2006. 196-198.
  • 5Lim K Y, Lee. H, Ryu C, et al. Novel stress-memorization-technology (SMT) for high electron mobility enhancement of gate last high-k/metal gate devices. In: International Electron Devices Meeting (IEDM'10), San Francisco, 2010. 229-232.
  • 6Ang K W, Chui K J, Bliznetsov V, et al. Enhanced performance in 50nm N-MOSFETs with silicon-carbon source/drain regions. In: International Electron Devices Meeting (IEDM'04), San Francisco, 2004. 1069-1071.
  • 7Auth C, Cappellani A, Chun J S, et al. 45nm High-k + metal gate strain-enhanced transistors. In: Symposium on VLSI Technology(VLSI'08), Honolulu, 2008. 128-129.
  • 8Ghani T, Armstrong M, Auth C, et al. A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors. In: International Electron Devices Meeting (IEDM'03), Washington, 2003. 978-980.
  • 9Tamura N, Shimamune Y, Maekawa H, et al. Embedded silicon germanium (eSiGe) technologies for 45nm nodes and beyond. In: International workshop on Junction Technology(IWJT '08), Shanghai, 2008. 73-77.
  • 10Eneman G, Jurczak M, Verheyen P, et al. Scalability of strained nitride capping layersfor future CMOS generations. In: European Solid-State Device Research Conference(ESSDERC'05), Grenoble, 2005. 449-452.

同被引文献56

  • 1张莹,杜春玲,缪向水.忆阻器与类脑芯片——访领军人物缪向水教授[J].微纳电子与智能制造,2019,1(4):1-3. 被引量:2
  • 2康劲,吴汉明,汪涵.后摩尔时代集成电路制造发展趋势以及我国集成电路产业现状[J].微纳电子与智能制造,2019,1(1):57-64. 被引量:15
  • 3刘剑滨,程学农,赵海.后摩尔时代我国集成电路晶园制程发展方向[J].电子技术(上海),2020(4):7-9. 被引量:7
  • 4曾宇,王洁.中国高性能计算机技术及标准现状分析[J].信息技术与标准化,2006(10):9-12. 被引量:7
  • 5Wu Xiaoxia, Li Jian, Zhang Lixin, et al.Hybrid cache architecture with disparate memory technologies[J].ACM SIGARCH Computer Architecture News, 2009, 37 (3) : 34-45.
  • 6Lain C.Cell design considerations for phase change memory as a universal memory[C]//International Symposium on VLSI Technology, Systems and Applications, 2008 : 132-133.
  • 7Jadidi A, Arjomand M, Sarbazi-Azad H.High-endurance and performance-efficient design of hybrid cache archi- tectures through adaptive line replacement[C]//2011 Inter- national Symposium on Low Power Electronics and Design (ISLPED), 2011 79-84.
  • 8Jouppi N P.Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers[C]//17th Annual Intemational Symposium on Computer Architecture, 1990: 364-373.
  • 9Zhou Ping, Zhao Bo, Yang Jun, et al.Energy reduction forSTT-RAM using early write termination[C]//IEEE/ACM International Conference on Computer-Aided Design- Digest of Technical Papers,ICCAD 2009,2009:264-268.
  • 10Chen Yiran, Li Hai, Wang Xiaobin, et al.A nondestructive self-reference Scheme for Spin-Transfer Torque Random Access Memory (STT-RAM) [C]//Design, Automation & Test in Europe Conference & Exhibition(DATE),2010: 148-153.

引证文献12

二级引证文献20

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部