期刊文献+

TSV Minimization for Circuit Partitioned 3D SoC Test Wrapper Design 被引量:4

TSV Minimization for Circuit Partitioned 3D SoC Test Wrapper Design
原文传递
导出
摘要 Semiconductor technology continues advancing, while global on-chip interconnects do not scale with the same pace as transistors, which has become the major bottleneck for performance and integration of future giga-scale ICs. Thre dimensional (3D) integration has been proposed to sustain Moore's law by incorporating through-silicon vias (TSVs) to integrate different circuit modules in the vertical direction, which is believed to be one of the most promising techniques to tackle the interconnect scaling problem. Due to its unique characteristics, there are many research opportunities, and in this paper we focus on the test wrapper optimization for the individual circuit-partitioned embedded cores within 3D System-on- Chips (SoCs). Firstly, we use existing 2D SoCs algorithms to minimize test time for individual embedded cores. In addition, vertical interconnects, i.e., TSVs that are used to construct the test wrapper should be taken into consideration as well. This is because TSVs typically employ bonding pads to tackle the misalignment problem, and they will occupy significant planar chip area, which may result in routing congestion. In this paper, we propose a series of heuristic algorithms to reduce the number of TSVs used in test wrapper chain construction without affecting test time negatively. It is composed of two steps, i.e., scan chain allocation and functional input/output insertion, both of which can reduce TSV count significantly. Through extensive experimental evaluations, it is shown that reduce the number of test TSVs dramatically, i.e., as much as 26% in comparison with the intuitive method. the test wrapper chain structure designed by our method can 60.5% reductions in comparison with the random method and Semiconductor technology continues advancing, while global on-chip interconnects do not scale with the same pace as transistors, which has become the major bottleneck for performance and integration of future giga-scale ICs. Thre dimensional (3D) integration has been proposed to sustain Moore's law by incorporating through-silicon vias (TSVs) to integrate different circuit modules in the vertical direction, which is believed to be one of the most promising techniques to tackle the interconnect scaling problem. Due to its unique characteristics, there are many research opportunities, and in this paper we focus on the test wrapper optimization for the individual circuit-partitioned embedded cores within 3D System-on- Chips (SoCs). Firstly, we use existing 2D SoCs algorithms to minimize test time for individual embedded cores. In addition, vertical interconnects, i.e., TSVs that are used to construct the test wrapper should be taken into consideration as well. This is because TSVs typically employ bonding pads to tackle the misalignment problem, and they will occupy significant planar chip area, which may result in routing congestion. In this paper, we propose a series of heuristic algorithms to reduce the number of TSVs used in test wrapper chain construction without affecting test time negatively. It is composed of two steps, i.e., scan chain allocation and functional input/output insertion, both of which can reduce TSV count significantly. Through extensive experimental evaluations, it is shown that reduce the number of test TSVs dramatically, i.e., as much as 26% in comparison with the intuitive method. the test wrapper chain structure designed by our method can 60.5% reductions in comparison with the random method and
出处 《Journal of Computer Science & Technology》 SCIE EI CSCD 2013年第1期119-128,共10页 计算机科学技术学报(英文版)
基金 This work was supported in part by the National Basic Research 973 Program of China under Grant No. 2011CB302503 and the National Natural Science Foundation of China under Grant Nos. 60806014, 61076037, 60906018, 61173006, 60921002, 60831160526.
关键词 three-dimensional system-on-chip test wrapper chain through-silicon vias optimization three-dimensional system-on-chip, test wrapper chain, through-silicon vias optimization
  • 相关文献

参考文献25

  • 1Davis J A, Venkatesan R, Kaloyeros A et al. Interconnect limits on gigascale integration (GSI) in the 21st century. Pro- ceedings of the IEEE, 2001, 89(3): 305-324.
  • 2Lewis D L, Lee H H S. Test circuit-partitioned 3D IC designs. In Proc. ISVLSI, May 2009, pp.139-144.
  • 3Lee H-H S, Chakrabarty K. Test challenges for 3D integrated circuits. IEEE Design : Test of Computers, 2009, 26(5): 26-35.
  • 4Marinissen E J. Test challenges for 3D-SICs: All the old, most of the recent, and then some new! In Proc. ITC, Nov. 2009.
  • 5Marinissen E J, Arendsen R, Bos G et al. A structured and scalable mechanism for test access to embedded resuable cores. In Proc. ITC, Oct. 1998, pp.284-293.
  • 6Iyengar V, Chakrabarty K, Marinissen E J. Wrapper/.TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs. In Proc. the 39th DAC, Jun. 2002, pp.685-690.
  • 7Huang Y, ]:eddy S M, Cheng W T et al. Optimal core wrap- per width selection and SOC test scheduling based on 3-D bin packing algorithm. In Proc. ITC, Oct. 2002, pp.74-82.
  • 8Loi I, Mitra S, Lee T H, Fujita S, Benini L. A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. In Proc. ICCAD, Nov. 2008, pp.598-602.
  • 9Wu X, Falkenstern P, Xie Y. Scan chain design for three- dimensional integrated circuits (3D ICs). In Proc. the 25th Int. Conf. Computer Design, Oct. 2007, pp.208-214.
  • 10Chandran U, Zhao D. Thermal driven test access routing in hyper-interconnected three-dimensional system-on-chip. In Proc. the 24th Int. Syrup. Defect and Fault Tolerance in VLSI Systems, Oct. 2009, pp.410-418.

同被引文献34

  • 1申抒含,金炜东.多进制概率角复合位编码量子进化算法[J].模式识别与人工智能,2005,18(6):657-663. 被引量:9
  • 2许川佩,王征,李智.基于量子进化算法的SoC测试结构优化[J].仪器仪表学报,2007,28(10):1792-1799. 被引量:4
  • 3Dally W, Towles B . Principles and practices of interconnection networks[M]. San Francisco: Morgan Kaufmann Publishers, 2003.
  • 4Xiang D, Liu G, Chakrabarty K, et al. Thermal-aware test scheduling for NOC-based 3D integrated circuits[C]// Pro?ceedings of the 21st IFIPIlEEE International Conference on Very Large Scale Integration. Los Alamitos: IEEE Computer Society Press, 2013: 96-101.
  • 5Farah R, Harmanani H. A method for efficient NoC test sched?uling using deterministic routing[C]//Proceedings of IEEE In?ternational SoC Conference. Los Alamitos: IEEE Computer Society Press, 2010: 363-366.
  • 6Xiang D. A cost-effective scheme for network-on-chip router and interconnect testing[C]//Proceedings of the 22nd Asian Test Symposium. Los Alamitos: IEEE Computer Society Press, 2013: 207-212.
  • 7Xiang D, Zhang Y. Cost-effective power-aware core testing in NoCs based on a new unicast-based multicast scheme[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2011, 30(1):135-147.
  • 8Cota E, Liu C S. Constraint-driven test scheduling for NoC-based systems[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 25(11): 2465-2478.
  • 9Liu C S, Cota E, Sharif H, et al. Test scheduling for net?work-on-chip with BIST and precedence constraints[C]// Pro?ceedings of International Test Conference. Los Alamitos: IEEE Computer Society Press ,2004: 1369-1378.
  • 10Richter M, Chakrabarty K. Optimization of test pin-count, test scheduling, and test access for NoC-based multicore SoCs[J]. IEEE Transactions on Computers,20 14,63(3 ):691- 702.

引证文献4

二级引证文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部