期刊文献+

一种快速有效的L2 Cache可靠性预测方法 被引量:1

Fast and Efficient Prediction of L2 Cache Reliability
下载PDF
导出
摘要 随着集成电路工艺的不断进步,微处理器的软错误问题日益突出.体系结构弱点因子AVF(architectural vulnerability factor)作为可靠性评估指标之一,常用于软错误的评估.AVF在程序执行过程中呈现明显的动态变化特性,使得基于AVF预测的动态容错管理技术成为当前软错误研究领域的热门课题.即根据AVF的变化来动态选择是否对微处理器部件进行容错设计,从而在满足软错误可靠性要求的前提下尽量降低容错技术的开销.因此,基于L2 Cache AVF的动态特性研究,提出使用贝叶斯累加树模型BART(Bayesian additive regression trees)对L2 Cache AVF进行准确预测,并使用块搜索(bump hunting)技术来提取由少数几个性能参数组成的、对具有高L2 Cache AVF的执行阶段进行判定的规则,从而实现了对L2 Cache AVF的快速有效预测. With continuous technology scaling, microprocessors are becoming more and more susceptible to soft errors. Architectural vulnerability factor(AVF), which has been introduced to quantify the vulnerability of on-chip structures to soft errors, has demonstrated to exhibit significant runtime variations. While traditional fault tolerant techniques which take no account of the dynamic characteristics of AVF provide protection throughout the entire lifetime of programs, possibly leading to the over-protection and inducing significant costs. AVF prediction based dynamic fault tolerant techniques provide error protection only at the execution points with high AVF rather than the whole execution lifetime of programs, thereby maintaining the reliability goal with minimum cost. In this paper, we aim at developing an efficient online AVF predictor which can be used in dynamic fault tolerant management schemes for L2 Cache. We firstly improve the method of Cache AVF computation and characterize the dynamic vulnerability behavior of L2 Cache. Then based on the observations of the dynamic behavior of L2 Cache AVF, we propose to employ the Bayesian additive regression trees(BART) method to accurately model the variation of L2 Cache AVF and employ bump hunting technique to extract some simple selecting rules on several key performance metrics, thus enabling a fast and efficient prediction of L2 Cache AVF.
出处 《计算机研究与发展》 EI CSCD 北大核心 2013年第1期181-187,共7页 Journal of Computer Research and Development
基金 国家自然科学基金项目(60873016 60970036) 国家"八六三"高技术研究发展计划基金项目(2009AA01Z124 2009AA01Z102)
关键词 软错误 体系结构弱点因子 AVF预测 贝叶斯累加树 块搜索 soft error architectural vulnerability factor(AVF) AVF prediction Bayesian additive regression tree(BART) bump hunting
  • 相关文献

参考文献19

  • 1Mukherjee S S, Weaver C, Emer J, et al. A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor [C] //Proc of the Int Syrup on Microarchiteeture(MICRO). Los Alamitos, CA.. IEEE Compfiter Society, 2003; 29-40.
  • 2Wang N J, Quek J, Rafacz T M, et al. Characterizing the effects of transient faults on a high-performance processor pipeline [C] //Proc of the Int Conf on Dependable Systems and Networks(DSN). Piscataway, NJ: IEEE, 2004: 61-70.
  • 3Li X, Adve S V, Bose P, et al. SoftArch: An architecture- level tool for modeling and analyzing soft errors [C] //Proe of the Int Conf on Dependable Systems and Networks(DSN). Piseataway, NJ- IEEE, 2005:496-505.
  • 4Fu X, Li T, Fortes J. Sire SODA: A framework for microarchitecture reliability analysis [EB/OL]. 2006 [2011- 01-05]. http://www, ittc. ku. edu/- xinfu/publications/ simsoda mobs06, pdf.
  • 5周学海,余洁,李曦,王志刚.基于指令行为的Cache可靠性评估研究[J].计算机研究与发展,2007,44(4):553-559. 被引量:4
  • 6Fu X, Poe J, Li T, et al. Characterizing microarchitecture soft error vulnerability phase behavior[C] //Proc of the Int Symp on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems(MASCOTS). Piseataway, NJ: IEEE, 2006:147-155.
  • 7Walcott K R, Humphreys G, Gurumurthi S. Dynamic prediction of architectural vulnerability from microarchitectural state [C]//Proc of the Int Syrup on Computer Architecture(ISCA). New York: ACM, 2007: 516-527.
  • 8Duan L, Li B, Peng L. Versatile prediction and fast estimation of architectural vulnerability factor from processor performance metrics [C]//Proc of IEEE 15th Int Symp on High Performance Computer Architecture ( HPCA ). Piscataway, NJ: IEEE, 2009: 129-140.
  • 9Cai Y, Schmitz M T, Ejlali A, et al. Cache size selection for performance, energy and reliability of time-constrained systems [C] //Proc of the 2006 Asia and South Pacific Design Automation Conf (ASP-DAC). Piscataway, NJ: IEEE, 2006: 9Z3-928.
  • 10Gaisler J. Evaluation of a 32 bit microprocessor with built in concurrent error detection [C] //Proc of Int Syrup on Fault Tolerant Computing. Piscataway, NJ: IEEE, 1997:42.

二级参考文献11

  • 1S S Mukherjee,Joel Emer,S K Reinhardt.The soft error problem:An architectural perspective[C].In:Proc of the 11th Int'l Symp on High-Performance Computer Architecture.Los Alamitos,CA:IEEE Computer Society Press,2005.243-247
  • 2Robert Baumann.Soft errors in commercial semiconductor technology:Overview and scaling trends[C].In:IEEE 2002 Reliability Physics Tutorial Notes,Reliability Fundamentals.Piscataway,NJ:IEEE Press,2002
  • 3S S Mukherjee,et al.A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor[C].In:Proc of the 36th Int'l Symp on Microarchitecture.Los Alamitos,CA:IEEE Computer Society Press,2003
  • 4N Wang,T Rafacz,J Quek,et al.Characterizing the effects of transient faults on a modern high-performance processor pipeline[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2004
  • 5S Kim,A K Somani.Soft error sensitivity characterization for microprocessor dependability enhancement strategy[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2002.416-425
  • 6P Shivakumar,et al.Modeling the effect of technology trends on the soft error rate of combinational logic[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2002
  • 7G P Saggese,et al.An experimental study of soft error in microprocessors[J].IEEE Micro,2005,25(6):30-39
  • 8Joel B Nickel,Arun K Somani.REESE:A method of soft error detection in microprocessors[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2001.401-410
  • 9Nicholas J Wang,Sanjay J Patel.ReStore:Symptom based soft error detection in microprocessors[C].In:Proc of the Int'l Conf on Dependable Systems and Networks.Los Alamitos,CA:IEEE Computer Society Press,2005
  • 10M R Guthaus,J S Ringenberg,D Ernst,et al.Mibench:A free,commercially representative embedded benchmark suite[C].In:IEEE 4th Annual Workshop on Workload Characterization.Los Alamitos,CA:IEEE Computer Society Press,2000.266-277

共引文献3

同被引文献5

引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部