期刊文献+

16位嵌入式RISC微处理器设计 被引量:1

Design of 16 bit embedded RISC microprocessor
下载PDF
导出
摘要 设计了一款具有4级流水线结构的16位RISC嵌入式微处理器。针对转移指令,未采用惯用的延迟转移技术,而是通过在取指阶段增加相应的硬件结构实现了无延迟转移。采用内部前推技术解决了指令执行过程中的数据相关。同时通过设置相应的硬件堆栈实现了对中断嵌套和调用嵌套的支持。整体系统结构采用VerilogHDL语言设计,指令系统较完善。在软件平台上的仿真验证初步表明了本设计的正确性。 Designed a four pipeline structure of the 16-bit RISC embedded microprocessor. For the branch instruction, did not adopt the delayed branch technology which is commonly used, but by adding the appropriate hardware structures to achieve branch without delay. Using the internal forwarding technology to solve data hazard during the instruction execution process. The microprocessor supported interrupts nesting, calls nesting by adding hardware stacks. The system was programmed by Verilog HDL, and has a relatively complete instruction system. Finally, simulation on the software platform indicated the correctness of the design preliminarily.
作者 雷少波 黄民
出处 《微型机与应用》 2013年第7期13-15,19,共4页 Microcomputer & Its Applications
关键词 微处理器 流水线 精简指令集 现场可编程门阵列 嵌入式系统 microprocessor pipeline RISC FPGA embedded system
  • 相关文献

参考文献4

二级参考文献17

  • 1李瑛,高德远,张盛兵.RISC微处理器流水线的测试[J].小型微型计算机系统,2005,26(6):1110-1112. 被引量:6
  • 2周宁宁,陈燕例,李爱群.基于FPGA技术的浮点运算器的设计与实现[J].计算机工程与设计,2005,26(6):1578-1581. 被引量:11
  • 3高健,陈杰.一种基于数字信号处理器的媒体处理器结构及设计[J].微电子学与计算机,2007,24(4):1-4. 被引量:4
  • 48-bit CISC microprocessor core for teaching applications in the digital systems laboratory[J].Reconfigurable Computing and FPGA's,IEEE International Conference,2006.
  • 5Pastor J S,Gonzalez I,Lopez J,et al.A remote laboratory for debugging FPGA-based microprocessor prototypes[J].Advanced Learning Technologies,Proceedings IEEE International Conference,2004.
  • 6Xiao Tiejun,Liu Fang.16-Bit teaching microprocessor design and application[C].Xiamen,China:IEEE International Symposium on IT in Medicine and Education,2008.
  • 7Xu Meihua, Ran Feng, Chen Zhangjin, et al. IP core design of PLC microprocessor with boolean module [C]// Conference on High Density Microsystem Design and Packaging and Component Failure Analysis, Shang- hai: IEEE, 2005 : 1- 5.
  • 8Kyenonghoon Koo, Gab Seon Rho, Wook Hyun Kwon, et al. Architeetual design of a RISC processor for pro- grammable logic controllers [J]. Preprint submitted to Elsevier preprint, 1998,44(5) :311-325.
  • 9Gab SeonRho, Kyeonoghoon Koo, Naehyuc Chang, et al. Implementation of a RISC microprocessor for pro- grammable logic controllers[J]. Elsevier Science B V, Microprocessors and Microsystems, 1995, 9 (10):599 -608.
  • 10Steve B Furber.ARM system-on-chip architecture addisonwes-ley[M].USA:2000 ARM Architecture Reference Manual,Addison-Wesley,2001.

共引文献15

同被引文献3

引证文献1

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部