期刊文献+

支持推测并行化的事务存储硬件模拟系统

Hardware Simulation System for Transactional Memory with Speculative Parallelization
下载PDF
导出
摘要 多核处理器通过增加处理器核数提高计算能力,虽然可以通过同时运行多道程序的方式利用处理器资源,但是多核处理器真正的成功取决于解决并行应用开发中的难题.为此,处理器体系结构和编程模型的协同开发是必须的.而随着核数的增多,传统上使用的软件模拟器因为软件的串行性而性能越来越差,无法支持这种软硬件协同开发.FPGA天生的并行性使它在模拟多核处理器时具有较高的模拟性能和高度的可扩放性,成为处理器体系结构研究的理想工具.本文介绍了基于FPGA的多核模拟系统,RAMP-Pink.该系统基于HASim实现,同时支持事务存储和线程级推测,用于对事务存储和线程级推测的软硬件协同开发.该模拟系统可配置不同的FPGA开发平台,也可以以软件模拟方式运行. Multi-core processor provides computing ability by integrating more and more processor cores. Although we can utilize chip multi-processor cores by running many programs concurrently, parallel programming is more important for speeding up one single program. Indeed, the practical success of chip-multiprocessors consists in addressing the difficulties of parallel programming. To this end, it is necessary to co-develop new architectures with novel programming models. As the number of integrated on-chip cores is getting larger and larger, software simulator is no longer a practical environment for interesting experiments of chip multi-processor, due to the serial characteristic of software. As an alternative, FPGA-based simulation platform has become the optimal platform for this research, because of their inherent parallel characteristics. In this paper, we present the RAMP-Pink simulation system based on FPGA. This simulation system, which supports both Transactional Memory and Thread Level Speculation, is based on HASim simu- lation framework, and can be used for architecture and programming model co-development. In addition, our designed system can be configured with different FPGA development platform and also can run in software mode.
出处 《小型微型计算机系统》 CSCD 北大核心 2013年第5期1102-1107,共6页 Journal of Chinese Computer Systems
基金 国家"八六三"高技术研究发展计划重大项目(子课题)(2005CB321601 2006AA01A102-5-2)资助 国家"八六三"高技术研究发展计划项目(2009AA01Z106)资助
关键词 多核处理器 FPGA 模拟器 事务存储 线程级推测 multi-core processor FPGA simulator transactional memory thread level speculation
  • 相关文献

参考文献4

二级参考文献40

  • 1Wei-WuHu Fu-XinZhang Zu-SongLi.Microarchitecture of the Godson-2 Processor[J].Journal of Computer Science & Technology,2005,20(2):243-249. 被引量:52
  • 2路放,安虹,梁博,任建.OpenSMT:一个同时多线程处理器模拟器的设计和实现[J].计算机科学,2006,33(1):158-163. 被引量:4
  • 3何裕南,安虹,郭锐,梁博.OpenCMP:一个支持事务存储模型的多核处理器模拟器[J].计算机科学,2007,34(1):248-254. 被引量:5
  • 4陈嘉,安虹,刘圆,王莉.一种CMP结构上的事务存储编程模型设计[J].计算机仿真,2007,24(6):81-85. 被引量:2
  • 5J Gregory Steffan, Christopher B Colohan, Antonia Zhai, et al. A scalable approach to thread-level speculation[C]. Proceedings of the 27th International Symposium on 2000, 1-12.
  • 6Antonia Zhai, Christopher B Colohan, J Gregory Steffan, et al. Compiler optimization of memory-resident value communication between speculative threads[C]. Code Generation and Optimization, 2004. CGO 2004. International Symposium on 2004, 39- 50.
  • 7Steffan J G, Colohan C B, Zhai A, et al. Improving value communication for thread-level speeulation[C]. High-Performance Computer Architecture, 2002. Proceedings. Eighth International Symposium on 2-6 Feb. 2002, 65-75.
  • 8http ://www. gnu. org/software/binutils/manual/gprof-2. 9.1/ html--mono/gprof, html[EB/OL], 1997,
  • 9Shiwen Hu, Ravi Bhargava, Lizy Kurian John. The role of return value prediction in exploiting speculative method-level parallelism[J]. Journal of Instruction-Level Parallelism, 2003, 5: 1-21.
  • 10A J KleinOsowski, John Flynn, Nancy Meares, et al. Adapting the SPEC 2000 benchmark suite for simulation-based computer architecture research[C]. Workshop on Workload Characterization, International Conference on Computer Design, September, 2000.

共引文献39

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部