期刊文献+

不规则Mesh NoC上基于路由表的路由 被引量:2

Routing Based on Routing Table for Irregular Mesh NoC
下载PDF
导出
摘要 片上网络(NoC)系统通常集成很多不同尺寸大小的IP模块,具有不规则的拓扑结构,传统的路由算法可能不再适用。针对NoC不规则拓扑结构的路由问题,提出INC路由算法。该算法采用2个很小的路由表,即FDT路由表和IFDT路由表。实验结果证明,INC路由算法在多数情况下能找到2个节点之间的最短路径,平均延时小,而且FDT和IFDT路由表只有固定的12项,大小不会随着片上网络规模的增大而增大。 Network-on-Chip(NoC) system often integrates many heterogeneous components which have different sizes. This NoC has an irregular topology. In the irregular mesh, traditional routing algorithm may not be usable any more. To solve the routing problem, this paper proposes a novel routing algorithm, called INC routing algorithm. 1NC routing is based on two small routing tables, FDT and IFDT. The simulation result shows that the routing scheme can find the shortest path between two nodes in most cases, which reduces the latency a lot. And the routing tables FDT and IFDT have a fixed size of 12 items. Their sizes do not increase when mesh grows.
出处 《计算机工程》 CAS CSCD 2013年第10期279-282,286,共5页 Computer Engineering
关键词 片上网络 不规则拓扑结构 路由算法 路由表 路由节点 容错 Network-on-Chip(NoC) irregular topology structure routing algorithm routing table routing node fault-tolerant
  • 相关文献

参考文献10

  • 1徐欣,王长山.一种不规则2D Mesh的NoC路由算法[J].计算机与现代化,2010(5):111-114. 被引量:1
  • 2Dally W J, Seitz C L. Deadlock-free Message Routing in Multiprocessor Interconnection Networks[J]. IEEE Trans. on Computers, 1987, 36(5): 547-553.
  • 3Zhang Zhen, Greiner A, Taktak S. A Reconfigurable Routing Algorithm for a Fault-tolerant 2D-mesh Network-on-Chip[C]// Proc. of the 45th ACM/IEEE Design Automation Conference. Anaheim, USA: IEEE Press, 2008:441-446.
  • 4Mohtashamzadeh M, Momeni L, Rezazadeh A, et al. A New Routing Algorithm for Irregular Mesh NoCs Without Virtual Channel[C]//Proc. of the 5th European Symposium on Computer Modeling and Simulation, [S. 1.]: IEEE Press, 2011: 335-338.
  • 5Schafer M K F, Hollstein T, Zimmer H, et al. Deadlock-free Routing and Component Placement for Irregular Mesh-based Networks-on-Chip[C]//Proc. of IEEE/ACM International Conference on Computer-aided Design. [S. 1.]: IEEE Press, 2005: 238-245,.
  • 6段新明,杨愚鲁.基于不规则Mesh的NoC无死锁路由[J].小型微型计算机系统,2008,29(7):1215-1218. 被引量:1
  • 7Schonwald T, Zimmermann J, Bringmaim O, et al. Fully Adaptive Fault-tolerant Routing Algorithm for Network-on- Chip Architectures[C]//Proc. of the 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools. [S. 1.]: IEEE Press, 2007: 527-534.
  • 8Bolotin E, Cidon I, Ginosar R, et al. Routing Table Minimi- zation for Irregular Mesh NoCs[C]//Proc. of Conference on Design, Automation and Test in Europe. IS. 1.]: IEEE Press, 2007: 1-6.
  • 9Wu Jie. A Fault-tolerant and Deadlock-flee Routing Protocol in 2D Meshes Based on Odd-even Turn Model[J]. IEEE Trans. on Computers, 2003, 52(9): 1154-1169.
  • 10Sui Pao-Hwa, Wang Shengde. An Improved Algorithm for Fault-tolerant Wormhole Routing in Meshes[J]. IEEE Trans. on Computers, 1997, 46(9): 1040-1042.

二级参考文献19

  • 1De Micheli G,Benini L.Networks on chip:A new paradigm for systems on chip design[C]//Proceedings of the Conference on Design,Automation and Test in Europe.2002:418.
  • 2Goossens K.Formal methods for networks on chips[C]//Proceedings of the Fifth International Conference on Application of Concurrency to System Design.2005:188-189.
  • 3Jantsch A,Tenhunen H.Networks on Chip[M].Amsterdam:Kluwer Academic Publishers,2003.
  • 4Ahonen T,Siguenza-Tortosa D,Bin H,et al.Topology optimization for application-specific networks-on-chip[C]//Proceedings of 2004 International Workshop on System Level Interconnect Prediction.Paris,France,2004:53-60.
  • 5Hollstein T,Ludewig R,Mager C,et al.A hierarchical generic approach for on-chip communication,testing and debugging of SoCs[C]//Proceedings of the VLSI-SoC2003.2003:44-49.
  • 6Schafer Martin K-F,Hollstein Thomas,et al.Deadlock-free routing and component placement for irregular mesh-based networks-on-chip[C]//Proceedings of the 2005 IEEE/ACM International Conference on Computer-aided Design.2005:238-245.
  • 7Duato Jose,Yalamanchili Sudhakar,Ni Lionel.Interconnection Networks:An Engineering Approach[M].Publishing House of Electronics Industry,2004:58-148.
  • 8Schwiebert L,Jayasimha D N.Optimal fully adaptive wormhole routing for meshes[C]//Proceedings of the 1993 ACM/IEEE Conference on Supercomputing.1993:782-791.
  • 9Wu Dong,Al-Hashimi Bashir M,Schmitz Marcus T.Improving routing efficiency for network-on-chip through contention-aware input selection[C]//Proceedings of the 2006 Asia and South Pacific Design Automation Conference.2006:36-41.
  • 10OPNET Technologies Inc.OPNET Modeler Documentation[DB/OL].http://www.opnet-modeler-documentation.software.informer.com,2004-12-23.

同被引文献10

引证文献2

二级引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部