期刊文献+

面向非全互连3D NoC可靠通信的分布式路由算法 被引量:11

A Distributed Routing Algorithm for Reliable Communication in Vertically Partially Connected 3D NoC
下载PDF
导出
摘要 针对非全互连三维片上网络架构中存在的硅通孔(TSV)寻找困难和可能产生层间IP核隔离等问题,提出一种分布式容错路由算法.通过在每个路由器中添加TSV上/下表,可使层间通信数据包在发送前找出最优TSV的地址,保证层间IP核的有效通信和数据包的最短路径传输.若数据包到达非目的层,则在TSV上/下表中找出最优TSV的地址后继续传输;若是到达目的层,则使用文中提出的平面容错路由算法找到目的节点.实验结果表明,在均匀流量模式下网络故障率达到25%时,该算法仍与无网络故障的传统XYZ算法性能相近,且在网络无故障时的延时及吞吐率均优于参考对象. Contrary to problems about the TSV being difficult to find and interlayer IP cores being isolated in vertically partially connected 3D NoC,a distributed routing algorithm is proposed.Through adding TSV Up/Down table in each router,the interlayer communication packet can find the best TSV address before it injects into the network,which not only ensure the interlayer IP's effective communication but also make the packet be on the shortest path.If a packet reaches to the destination layer,it uses our planar fault tolerant routing algorithm to find the target; otherwise it finds a best TSV address in the TSV Up/Down table and then continues to transmit.The experimental results show that,the performance of this algorithm in the fault rate reaching to 25% under uniform traffic distribution is near to the one of XYZ algorithm in fault free network.This algorithm's delay and throughput are better than the reference algorithms' in fault free 3D NoC.
出处 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2014年第3期502-510,共9页 Journal of Computer-Aided Design & Computer Graphics
基金 国家自然科学基金(61274036 61106038) 安徽高校省级自然科学研究重点项目(KJ2010A269) 安徽省科技攻关项目(11010202190) 安徽省自然科学基金项目(1208085QF127)
关键词 非全互连3D架构 区域划分 可靠通信 容错路由 vertically partially connected 3D architecture region division reliability of communication fault-tolerant routing
  • 相关文献

参考文献15

  • 1Sheibanyrad A. Pet rot F.Jantsch A. 3D-Integration for NoC-based SoC architectures[M]. Berlin: Springer Press. 2011: 115-145.
  • 2Pavlidis V F. Friedman E G. 3 -D topologies for networks-on?chip[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2007. 15(10): 1081-1090.
  • 3Feero B S. Pande P P. Networks-on-chip in a three?dimensional environment: a performance evaluation[J]. IEEE Transactions on Computers. 2008. 58(1): 32-45.
  • 4Davis W R. WilsonJ. Mick S. et al. Dernystifying 3D ICs: the pros and cons of going vertical[J]. IEEE Design &. Test of Computers. 2005. 22(6): 498-510.
  • 5Young A. Perspectives on 3D-IC technology[C] /I Proceedings of Annual Conference on 3D Architectures for Semiconductor Integration and Packaging. NewJersey, Cite Seer Press. 2005.
  • 6Bahmani M. Sheibanyrad A. Perrot F. et al. A 3D-NoC router implementation exploiting vertically-partially-connected topologies[C]// Proceedings of IEEE Computer Society Annual Symposium on VLSI. Los Alamitos: IEEE Computer Society Press. 2012: 9-14.
  • 7Bartzas R. Skalis N. Siozios K. et al. Exploration of alternative topologies for application-specific 3D networks-on chip COL].[2013-01-23J. http://proteas.microlab.ntua.gr/ ksiop/pdf/wasp2007. pdf.
  • 8FlichJ. Rodrigo S. DuatoJ. An efficient implementation of distributed routing algorithms for NoCs[C]//Proceedings of the 2nd ACM/IEEE International Symposium on Networks-on-Chip. Los Alamitos: IEEE Computer Society Press. 2008: 876.
  • 9Rodrigo S. FlichJ. Roca A. et al. Addressing manufacturing challenges with cost-efficient fault tolerant routing[C]// Proceedings of the 4th ACM/IEEE International Symposium on Networks-on-Chip. Los Alamitos: IEEE Computer Society Press. 2010: 25-32.
  • 10Skeie T. Sem-Jacobsen F O. Rodrigo S. etal. Flexible DOR routing for virtualization of multicore chips[C]//Proceedings of International Symposium on System -on -Chip, Los Alamitos: IEEE Computer Society Press. 2009: 73-76.

二级参考文献58

  • 1高明伦,杜高明.NoC:下一代集成电路主流设计技术[J].微电子学,2006,36(4):461-466. 被引量:31
  • 2张磊,李华伟,李晓维.用于片上网络的容错通信算法[J].计算机辅助设计与图形学学报,2007,19(4):508-514. 被引量:18
  • 3Yong-Bin Kim. Fault tolerant source routing for networkon-chip. In: Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems 2007, Rome, Italy, Sept 2007.
  • 4Murali S, Atienza D, Benini L, et al. A multi-path routing strategy with guaranteed in-order packet delivery and fauh-tolerance for networks on chip. In: the 43rd ACM/IEEE Design Automation Conference, July 2006.
  • 5Li M, Zeng Q A, Jone W B. DyXY - a proximity congestionaware deadlock-free dynamic routing method for network on chip. In: the 43rd ACM/IEEE Design Automation Conference, July 2006.
  • 6Xiang D, Zhang Y, Pan Y. Practical deadlock-free fault-tolerant routing in meshes based on the planar network fault model. IEEE Transactions on Computers, 2009, 58(5): 620-633.
  • 7Wu Ning, Ge Fen, Wang Qi. Simulation and performance analysis of network on chip architectures using OPNET. In: the 7th International Conference on ASIC, Guilin, China, October 2007.
  • 8Daneshtalab M, Sobhani A, Kusha A A, et al. NoC hot spot minimization using AntNet dynamic routing algorithm. In: Proceedings of 17th International Conference on Applicationspecific Systems, Architectures and Processors (ASAP 2006), Colorado, USA, September 2006.
  • 9Benini L, Mieheli G D. Networks on chips: a new SoC paradigm. IEEE Transactions on Computers, 2002, 35( 1 ): 70-78.
  • 10Daly W J, Towles B. Route packets, not wires: on-chip interconnection networks. In: the 38rd ACM/IEEE Design Automation Conference, Las Vegas, NV, USA, June 2001.

共引文献38

同被引文献87

引证文献11

二级引证文献27

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部