期刊文献+

An Elastic Architecture Adaptable to Various Application Scenarios

An Elastic Architecture Adaptable to Various Application Scenarios
原文传递
导出
摘要 The quantity of computer applications is increasing dramatically as the computer industry prospers. Meanwhile, even for one application, it has different requirements of performance and power in different scenarios. Although various processors with different architectures emerge to fit for the various applications in different scenarios, it is impossible to design a dedicated processor to meet all the requirements. Furthermore, dealing with uncertain processors significantly aggravates the burden of programmers and system integrators to achieve specific performance/power. In this paper, we propose elastic architecture (EA) to provide a uniform computing platform with high elasticity, i.e., the ratio of worst-case to best-case performance/power/performance-power trade-off, which can meet different requirements for different applications. It is achieved by dynamically adjusting architecture parameters (instruction set, branch predictor, data path, memory hierarchy, concurrency, status^zcontrol, and so on) on demand. The elasticity of our prototype implementation of EA, as Sim-EA, ranges from 3.31 to 14.34, with 5.41 in arithmetic average, for SPEC CPU2000 benchmark suites, which provides great flexibility to fulfill the different performance and power requirements in different scenarios. Moreover, Sim-EA can reduce the EDP (energy-delay product) for 31.14% in arithmetic average compared with a baseline fixed architecture. Besides, some subsequent experiments indicate a negative correlation between application intervals' lengths and their elasticities. The quantity of computer applications is increasing dramatically as the computer industry prospers. Meanwhile, even for one application, it has different requirements of performance and power in different scenarios. Although various processors with different architectures emerge to fit for the various applications in different scenarios, it is impossible to design a dedicated processor to meet all the requirements. Furthermore, dealing with uncertain processors significantly aggravates the burden of programmers and system integrators to achieve specific performance/power. In this paper, we propose elastic architecture (EA) to provide a uniform computing platform with high elasticity, i.e., the ratio of worst-case to best-case performance/power/performance-power trade-off, which can meet different requirements for different applications. It is achieved by dynamically adjusting architecture parameters (instruction set, branch predictor, data path, memory hierarchy, concurrency, status^zcontrol, and so on) on demand. The elasticity of our prototype implementation of EA, as Sim-EA, ranges from 3.31 to 14.34, with 5.41 in arithmetic average, for SPEC CPU2000 benchmark suites, which provides great flexibility to fulfill the different performance and power requirements in different scenarios. Moreover, Sim-EA can reduce the EDP (energy-delay product) for 31.14% in arithmetic average compared with a baseline fixed architecture. Besides, some subsequent experiments indicate a negative correlation between application intervals' lengths and their elasticities.
出处 《Journal of Computer Science & Technology》 SCIE EI CSCD 2014年第2期227-238,共12页 计算机科学技术学报(英文版)
基金 partially supported by the National Natural Science Foundation of China under Grant Nos.61003064,61100163,61133004,61222204,61221062,61303158 the National High Technology Research and Development 863 Program of China under GrantNo.2012AA012202 the Strategic Priority Research Program of the Chinese Academy of Sciences under Grant No.XDA06010403 the Ten Thousand Talent Program of China
关键词 architecture design CONFIGURABLE ELASTICITY energy-delay product reduction architecture design, configurable, elasticity, energy-delay product reduction
  • 相关文献

参考文献35

  • 1Austin T, Larson E, Ernst D. SimpleScalar: An infrastruc- ture for computer system modeling. Computer, 2002, 35(2): 59-67.
  • 2Kunkel S, Eickemeyer R, Lip Met al. A performance metho- dology for commercial servers. IBM Journal of Research and Development, 2000, 44(6): 851-872.
  • 3Kumar R, Zyuban V, Tullsen D M. Interconnections in multi- core architectures: Understanding mechanisms, overheads and scaling. In Proc. the 32nd Annual Int. Symp. Com- puter Architecture (ISCA2005), June 2005, pp.408-419.
  • 4Li Y, Lee B, Brooks D et al. CMP design space explo- ration subject to physical constraints. In Proc. the 12th IEEE Symposium on High Performance Computer Architec- ture (HPCA2006), February 2006, pp.17-28.
  • 5Ipek E, McKee S A, Caruana R et al. Efficiently explor- ing architectural design spaces via predictive modeling. In Proc. the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-XII), October 2006, pp.195-206.
  • 6Lee B, Brooks D. Accurate and efficient regression model- ing for microarchitectural performance and power prediction. In Proc. the 12th Int. Conf. Architectural Support for Pro-gramming Languages and Operating Systems (ASPLOS-XI1), October 2006, pp.185-194.
  • 7Quinlan J R. Learning with continuous classes. In Proc. the 5th Australian Joint Conference on Artificial Intelligence (AI1992), November 1992, pp.343-348.
  • 8Wang Y, Witten I. Induction of model trees for predicting continuous classes. In Proc. the 9th European Conference on Machine Learning ( ECML1997), April 1997, pp.128-137.
  • 9Gonzalez R, Horowitz M. Energy dissipation in general put- pose microprocessors. IEEE Journal of Solid-State Circuits, 1996, 31(9): 1277-1284.
  • 10Mariani G, Avasare P, Vanmeerbeeck Get al. An indus- trial design space exploration framework for supporting run- time resource management on multi-core systems. In Proc. the Conference on Design, Automation and Test in Europe (DATE2010), March 2010, pp.196-201.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部