期刊文献+

450 mm晶圆CMP设备技术现状与展望 被引量:2

The Current Status and Forecast for the 450 mm CMP Equipment
下载PDF
导出
摘要 分析化学机械平坦化(CMP)耗材发展现状及趋势,推断450 mm晶圆的CMP设备及技术的迫切性;在此基础上,展望450 mm晶圆将会采用系统集成技术、多区域压力控制承载器技术、抛光垫修整技术、终点检测技术、后清洗技术,并初步分析以上这些技术的特点。最后指出随着晶圆制造厂激烈竞争和持续投资,对450 mm的CMP设备要求必有所突破。 The paper analyze the current status and trend of the consumable, then alleging the urgently for developing the 450mm wafer's CMP tool and its technology;Basing on the viewpoint which above mentioned, the paper forecasts the 450mm CMP tools will adopt integrated technology、Multi-zone back pressure control technology、pad conditioner technology、endpoint detection technology、post CMP cleaning technology, then analyze each technology specialty. At last, the paperindicate the wafer fabrication compete drastically and invest continually in the near future, which must make the 450mm wafer's CMP tool break through.
作者 柳滨 周国安
出处 《电子工业专用设备》 2014年第3期33-36,60,共5页 Equipment for Electronic Products Manufacturing
关键词 化学机械平坦化 集成技术 多区域压力控制 终点检测 抛光垫修整 后清洗 Chemical mechanical planarization (CMP) System integrated Multi-zone back pressure control Endpoint detection Pad conditioner Post CMP cleaning
  • 相关文献

参考文献3

同被引文献7

引证文献2

二级引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部