期刊文献+

通路时延测试综述 被引量:2

A Survey on Path Delay Testing
下载PDF
导出
摘要 本文对目前通路时延测试领域的主要研究成果进行了综述 ,阐述了主要的通路时延可测试性及相应的单通路时延故障的分类 。 This paper gives a survey of the recent development of path delay testing,introduces the classification of single path delay faults according to testability,and analyses three approaches to reducing the number of paths to be tested during path delay testing
出处 《计算机工程与科学》 CSCD 2002年第2期80-83,共4页 Computer Engineering & Science
基金 国家自然科学基金重点资助项目 (6973 3 0 10 ) 计算所领域前沿青年基金 (2 0 0 162 10 18)
关键词 通路时延测试 通路时延故障 可测试性 时间特性 delay testing path delay fault testability
  • 相关文献

参考文献12

  • 1[1]M A Breuer. The Effect of Races, Delavs and Delay Fanlts on Test Generation[J]. IEEE Trans on Computers, 1974, 22(10): 1078 -1092.
  • 2[2]C J Lin, S M Redv.On Delay Fault Testing in Logic Circuits [J].IEEE Trans Computer-Aided Design, 1987, 6(5) :694 - 703.
  • 3[3]E S Park, M R Mercer, T W Williams. The Total Delay Fault Model and Statistical Delay Fault Coverage [J] . IEEE Trans on Computers, 1992, 41 (6) :688 - 698.
  • 4[4]K T Cheng, S Devadas, K Keutzer. Robust Delay-Fault Test Generation and Synthesis for Testability Under a Standard Scan Design Methodology[A] .Proc of 28th DAC[C]. 1991.80- 86.
  • 5[5]S Devadas, K Keutzer. Validatable Nonrobust Delay-Fault Testable Circuits via Logic Synthesis [J]. IEEE Trans on Computer-Aided Design, 1992,11 (12): 1559 - 1573.
  • 6[6]A Krstic,K T Cheng. Generation of Higb Quality Tests for Functional Sensitizable Paths [A].Proc of VLSI Test Symp [C].1995. 374 - 379.
  • 7[7]K T Cheng, H C Chen. Classification and Ideutification of Nonrobust Untestable Path Delay Faults[J]. IEEE Trans Computer-Aided Design of ICs and Systems, 1996, 15(8) :845 - 853.
  • 8闵应骅,李忠诚.An Analytical Delay Model[J].Journal of Computer Science & Technology,1999,14(2):97-115. 被引量:4
  • 9[9]Z Li, Y Min, R K Brayton. Efficient Identification of Non-Robustly Untestable Path Delay Faults[ A]. Pric of Int'l Test Conf [C].1997. 992 - 997.
  • 10[10]U Sparmann, D Luxenburger, K T Cheng, et al.Fast Identification of Robust Dependent Path Delay Faults[A]. Proc of 32nd Design Automation Conf[C]. 1995.119- 125.

二级参考文献6

  • 1Min Y H,Sci China E,1997年,40卷,3期,250页
  • 2Cheng K T,IEEE Trans Comput Aided Des,1996年,15卷,8期
  • 3闵应骅,Proc ATS’95,1995年
  • 4闵应骅,Proc ATS’94,1994年
  • 5Gray C T,IEEE Trans Comput Aided Des,1994年,13卷,8期
  • 6Lin C J,IEEE Trans Comput Aided Des,1987年,6卷,694页

共引文献3

同被引文献9

  • 1F Moll, A Rubio.Spurious Signals in Digital CMOS VLSI Circuits: A Propagation Analysis[J]. IEEE Trans on Circuits and Systems - II: Analog and Digital Signal Processing, 1992, 39(10): 749-752.
  • 2R Anglada, A Rubio.Logic Fault Model for Crosstalk Interferences in Digital Circuits[J]. Int'l Journal of Electronics, 1989, 67(3): 423-425.
  • 3Itazaki Y Matsumoto, K Kinoshita.An Algorithmic Test Generation Method for Crosstalk Faults in Synchronous Sequential Circuits[A]. Proc 6th Asian Test Symp[C]. 1997. 22-27.
  • 4W Y Chen, S K Gupta, M A Breuer.Test Generation in VLSI Circuits for Crosstalk Noise[A]. Proc Int'l Test Conf[C]. 1998. 641-650.
  • 5K T Lee, C Nordquist, J A Abraham.Automatic Test Pattern Generation for Crosstalk Glitches in Digital Circuits[A]. Proc VLSI Test Symp[C]. 1998. 34-41.
  • 6W Y Chen, S K Gupta, M A Breuer.Test Generation for Crosstalk-Induced Delay in Integrated Circuits[A]. Proc Int'l Test Conf[C]. 1999. 191-200.
  • 7Krstic J J Liou, Y M Jiang, K T Cheng.Delay Testing Considering Crosstalk-Induced Effects[A]. Proc Int'l Test Conf[C]. 2001. 558-567.
  • 8K J Keller, K K Saluja, H Takahashi. On Reducing the Target Fault List of Crosstalk-Induced Delay Faults in Synchronous Sequential Circuits[A]. Proc Int'l Test Conf[C]. 2001. 568-577.
  • 9M L Bushnell, V D Agrawal.Essentials of Electronic Testing for Digital, Memory and Mixed-signal VLSI Circuits[M]. Kluwer Academic Publishers, 2000.

引证文献2

二级引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部