期刊文献+

用CPLD实现单片机与ISA总线并行通信 被引量:2

下载PDF
导出
摘要 用ALTERA公司MAX7000系列CPLD芯片实现单片机与PC104 ISA总线接口之间的并行通信,给出系统设计方法及程序源代码。包括通信软件和AHDL设计部分。
机构地区 空军雷达学院
出处 《单片机与嵌入式系统应用》 2002年第6期30-32,共3页 Microcontrollers & Embedded Systems
  • 相关文献

同被引文献4

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部