期刊文献+

VHDL语言在电子设计自动化中的应用 被引量:2

Application of VHDL in EDA
下载PDF
导出
摘要 介绍了VHDL语言及其基本特点和VHDL强大的仿真工具Active VHDL ,并结合例子描述了VHDL语言在数字电路设计仿真调试阶段所起的重要作用 ,仿真通过之后需要进行综合才能完成设计工作。结合使用VHDL的仿真和综合工具进行电子设计自动化设计的实际芯片取得了令人满意的结果。 The very high integrated circuit HW description language (VHDL), its basic features and one of its powerful simulation tool, Active VHDL, are introduced. With an example, The important role played by VHDL in the simulative test of digital circuit design is described. The synthesis is needed to complete the design after simulation. A real chip design by EDA with VHDL simulation and synthesis tools is successfully fulfilled and satisfied result is achieved.
出处 《电力自动化设备》 EI CSCD 北大核心 2002年第5期32-34,共3页 Electric Power Automation Equipment
关键词 VHDL语言 电子设计自动化 仿真 硬件描述语言 数字电路 VHDL simulation EDA hardware description language
  • 相关文献

参考文献3

二级参考文献7

  • 1刘应学.MSC小巨型机设计[J].计算机工程,1996,22(5):1-5. 被引量:1
  • 2侯伯亨 顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,1999..
  • 3Douglas L.Perry 周祖成(译).VHDL电子设计硬件描述语言[M].学苑出版社,..
  • 4乔长阁(译),VHDL简明教程,1997年
  • 5周祖成(译),VHDL电子设计硬件描述语言
  • 6侯伯亨,VHDL硬件描述语言与数字逻辑电路设计
  • 7(美)[K.斯科希尔]KevinSkahill编著,朱明程,孙普.可编程逻辑系统的VHDL设计技术[M]东南大学出版社,1998.

同被引文献5

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部