期刊文献+

基于VHDL的数字秒表设计

VHDL-based Design of Digital Stopwatch
下载PDF
导出
摘要 当今社会正朝着电子市场发展,越来越多的电子产品应用于各个领域。而VHDL的发展也是飞速的,且越来越成熟。与传统的设计相比,VHDL采用自顶向下的设计方法,打破了软硬件的屏障,节约了大量的空间,降低了功耗,易于随时修改程序,拥有很好的可修改性和移植共享性,克服了传统设计的缺点。 Today’s society is moving in the electronics market, more and more electronic products used in various fields. But also the rapid development of VHDL, and more mature. Compared with the traditional design, VHDL using top-down design approach, breaking the barrier of hardware and software, saving a lot of space, reduced power consumption, easy to modify the program at any time, have a good transplant can be modified and shared resistance, to overcome the shortcomings of traditional design.
出处 《科技与创新》 2014年第14期40-40,43,共2页 Science and Technology & Innovation
关键词 数字 秒表 设计 VHDL VHDL figures stopwatch design
  • 相关文献

参考文献1

  • 1李国洪等,编著.EDA技术与实验[M]. 机械工业出版社, 2008

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部