期刊文献+

基于虚通道故障粒度划分的3D NoC容错路由器设计 被引量:8

Design of Fault-Tolerant Router for 3D NoC Based on Virtual Channel Fault Granularity Partition
下载PDF
导出
摘要 深亚微米工艺下,路由器受制于制造缺陷及运行时的脆弱性,易发生虚通道(virtual channel,VC)永久性故障,从而引起通信故障,影响系统功能和性能.为了能够有效地容忍虚通道故障、保证系统性能及充分利用可用资源,将虚通道故障类型细分为粗粒度故障和细粒度故障,提出SVS(single VC sharing)路由器架构,通过将路由器端口两两分组,组内端口间实现单虚通道共享.当发生虚通道粗粒度故障时,使用组内相邻端口共享虚通道容错.当发生细粒度故障时,根据Slot State Table信息配置虚通道读/写指针的值,从而跳过故障Buffer槽实现容错.在无粗粒度故障情况下,共享虚通道还可用于负载平衡及容忍路由计算模块故障.实验结果表明:较其他已有的虚通道路由器,SVS路由器在3种不同的故障情况下均较大地降低了延时,提高了吞吐量.这表明SVS路由器可有效提高系统可靠性,保证了系统性能,充分利用了可用资源. Routers become subject to physical manufacture defects and running-time vulnerability in the deep submicron technology,which results in virtual channel permanent faults.The faults affect the performance and functionality of systems and result in communication malfunctions.In order to tolerate virtual channel faults effectively,and to ensure system performance and efficient usage of available resources,the type of failure is subdivided into coarse-grained fault and fine-grained fault,and then we propose the SVS router(single virtual channel sharing router)architecture to achieve a single virtual channel sharing between ports in the same group,which contains two ports in the router.Coarse-grained faults are tolerated by using adjacent ports'shared virtual channel in the same group.According to the information of Slot State Table,fine-grained faults are tolerated by configuring read/write pointer value to skip fault buffer slots.Also,in the absence of coarse-grained fault condition,shared virtual channel can be used for load balancing and fault tolerance of calculation module.Experimental results demonstrate significant reduction in average packet latency,and improvement in throughput under three different fault conditions compared with other existing virtual channel architectures.It shows that this scheme effectively improves system reliability,ensures system performance and makes full use of the available resources.
出处 《计算机研究与发展》 EI CSCD 北大核心 2014年第9期1993-2002,共10页 Journal of Computer Research and Development
基金 国家自然科学基金项目(61274036 61106038) 安徽高校省级自然科学研究重点项目(KJ2010A269) 安徽省科技攻关项目(11010202190)
关键词 虚通道故障 粗粒度故障 细粒度故障 虚通道共享 可靠性 virtual channel faults coarse-grained faults fine-grained faults shared virtual channel reliability
  • 相关文献

参考文献5

二级参考文献77

  • 1韩银和,李晓维,徐勇军,李华伟.应用Variable-Tail编码压缩的测试资源划分方法[J].电子学报,2004,32(8):1346-1350. 被引量:27
  • 2张磊,李华伟,李晓维.用于片上网络的容错通信算法[J].计算机辅助设计与图形学学报,2007,19(4):508-514. 被引量:18
  • 3SHAMSHIRI S,CHENG K T.Modeling yield,cost,and quality of an noc with uniformly and non-uniformly distributed redundancy[C]//28th IEEE VLSI Test Symposium,2010:194-199.
  • 4BAUMANN R.Soft errors in advanced computer systems[J[.IEEE Transactions on Design & Test of Computers,2005,22(3):258-266.
  • 5FICK D,ANDREW D A,HU Jin,BERTACCO V,BLAAUW D,SYLVESTER D.Vicis:a reliable network for unreliable silicon[C]//Design Automation Conference,July 26-31,2009:812-817.
  • 6BORKAR S.Microarchitecture and design challenges for gigascale integration[C]//Proceeding of the 37th International Symposium on Microarchitecture,2004:3-3.
  • 7MURALI S,THEOCHARIDES T,BENINI L,de MICHELI G,VIJAYKRISHNAN N,IRWIN M J.Analysis of error recover schemes for networks on chips[J].IEEE Design & Test,2005,22(5):434-442.
  • 8FRANTZ A P,KASTENSMIDT F L,CARRO L,COTA E.Dependable network-on-chip router able to simultaneously tolerate soft errors and crosstalk[C]//IEEE Proceeding of International Test Conference,2006:1-9.
  • 9FRANTZ A P,CASSEL M,KASTENSMIDT F L,COTA E,CARRO L.Crosstalk-and SEU-aware networks on chips[J].IEEE Design & Test of Computers,2007,24(4):340-350.
  • 10TUDOR D,SAM K,RADU M.Towards on-chip faulttolerant communication[C]//Proceedings of Asia and South Pacific Design Automation Conference,Kitakyushu,2003:225-232.

共引文献45

同被引文献63

  • 1刘有耀,韩俊刚.超立方体双环互连网络及路由算法[J].计算机应用研究,2009,26(3):997-1000. 被引量:4
  • 2欧阳一鸣,刘蓓,齐芸.三维片上网络测试的时间优化方法[J].计算机研究与发展,2010,47(S1):332-336. 被引量:4
  • 3向东,陈爱,孙家广.基于局部故障块三维mesh/torus网的容错路由[J].计算机学报,2004,27(5):611-618. 被引量:3
  • 4封国强,蔡坚,王水弟.硅通孔互连技术的开发与应用[J].电子与封装,2006,6(11):15-18. 被引量:8
  • 5LATIF K, RAHMANI A M, VADDINA K R , et al. Enhancing performance sustainability of fault tolerant routing algorithms in NoC-Based architectures [ C ]. Proceedings of 14th Euromicro Conference on Digital System Design, Oulu, 2011:626-633.
  • 6DAVID F, ANDREW D, GREGORY C, et al. A high- ly resilent routing algorithm for fault-tolerant NoCs [C]. DATE, Nice, 2009:21-26.
  • 7LAN Y C, LOS H, LIN Y C, et al. BiNoC : A bidirec- tional NoC architecture with dynamic self-reconfigurable channel[ C]. 3rd ACM/IEEE International Symposium on NoCs, San Diego, 2009 : 266-275.
  • 8YU Q Y, AMPADU P. A dual-layer method for transi- ent and permanent error co-management in NoC links [ J ]. IEEE Transactions on Circuits and Systems, 2011, 58(1): 36-40.
  • 9PASCA V, ANGHEL L, RUSU C, et al. Configurable. serial fault-tolerant link for communication in 3D inte- grated systems[ C ]. Proceedings of the 2010 IEEE 16th International On-Line Testing Symposium, Prague, 2010 : 115-120.
  • 10PASCA V, REHMAN S U, ANGHEL L, et al. Effi- cient link-level error resilience in 3D NoCs [ C ]. 2012 IEEE 15th International Symposium on Design and Di- agnostics of Electronic Circuits & Systems, Tallinn, 2012 : 127-132.

引证文献8

二级引证文献36

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部