期刊文献+

面向混合内存体系结构的模拟器 被引量:2

Simulator for hybrid memory architecture
下载PDF
导出
摘要 提出了一种基于全系统模拟器gem5的混合内存系统模拟器的构建方法.构建混合内存系统模拟器时,首先在gemg5中添加一层混合内存控制器结构,然后引入NVMain中的新型非易失性内存模型与gem5原生DRAM内存模型一起挂接到新添加的混合内存控制器上.实验结果表明,该方法能够实现构建混合内存系统模拟器的目标. This paper proposed a method for building a simulator for hybrid memory architec-ture based on gem5. When building, this method first added a hybrid memory controller betweenthe memory bus and the memory model, then introduced the non-volatile memory model of NV-Main and hooked it up to the the newly added hybrid memory controller along with the nativeDRAM model of gemS. This method could achieve the goal of building a simulator for hybridmemory architecture, which was proved by the experiment results.
出处 《华东师范大学学报(自然科学版)》 CAS CSCD 北大核心 2014年第5期133-140,共8页 Journal of East China Normal University(Natural Science)
基金 国家自然科学基金(61272408 61322210) 863项目(2012AA010905)
关键词 混合内存 模拟器 相变存储器 hybrid memory simulators phase change memory
  • 相关文献

参考文献13

  • 1MUTLU O.Memory scaling:A systems architecture perspective[C]//Memory Workshop (IMW),2013 5th IEEE International.IEEE,2013:21-25.
  • 2ZHOU P,ZHAO B,YANG J,et al.A durable and energy efficient main memory using phase change memory technology[C]//ACM SIGARCH Computer Architecture News.ACM,2009,37(3):14-23.
  • 3QURESHI M K,SRtNIVASAN V,RIVERS J A.Scalable high performance main memory system using phasechange memory technology[J].ACM SIGARCH Computer Architecture News,2009,37(3):24-33.
  • 4RAMOS L E,GORBATOV E,BIANCHINI R.Page placement in hybrid memory systems[C]//Proceedings of the international conference on Supercomputing.ACM,2011:85-95.
  • 5DHIMAN G,AYOUB R,ROSING T.PDRAM:a hybrid PRAM and DRAM main memory system[C]//Proceedings of the 46th Design Automation Conference.ACM,2009:664-669.
  • 6MOGUL J C,ARGOLLO E,SHAH M A,et al.Operating System Support for NVM + DRAM Hybrid Main Memory[C]//HotOS.2009.
  • 7POREMBA M,XIE Y.Nvmain:An architectural-level main memory simulator for emerging non-volatile memories[C]//VLSI (ISVLSI),2012 IEEE Computer Society Annual Symposium on.IEEE,2012:392-397.
  • 8ROSENFELD P,COOPER-BALIS E,JACOB B.Dramsim2:A cycle accurate memory system simulator[J].Computer Architecture Letters,2011,10(1):16-19.
  • 9PATEL A,AFRAM F,CHEN S,et al.MARSS:a full system simulator for multicore x86 CPUs[C]//Proceedings of the 48th Design Automation Conference.ACM,2011:1050-1055.
  • 10BINKERT N,BECKMANN B,BLACK G,et al.The gem5 simulator[J].ACM SIGARCH Computer Architecture News,2011,39(2):1-7.

同被引文献14

引证文献2

二级引证文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部