期刊文献+

一种应用于三维片上网络的动态温度管理机制

A dynamic thermal management scheme applied on 3D-NoC
下载PDF
导出
摘要 针对三维片上网络的散热问题,提出了一种基于实时温度感知和自适应路由算法的动态散热管理机制.通过分析流量与温度之间的转换关系,设计了一组应用于路由单元的实时温度感知组件,同时提出一种基于实时温度感知的自适应路由算法,根据系统当前温度情况选择流量均衡或区域回避路由策略,在尽量减小对系统延时影响的前提下控制系统升温.实验表明,该机制在系统延时有限上升的代价下能有效降低系统温度. To avoid the thermal problem of 3D-NoC, a dynamic thermal management mechanism is proposed. A temperature sensor combined with hardware monitoring and calculation software is designed, and two-phase adaptive routing algorithm is proposed. The routing algorithm makes full use of the bandwidth when the temperature is under the threshold, and avoids the hot spot when the region exceeds the temperature limits. Experimental results show that the mechanism achieves effective temperature control of the system in a limited delay overhead.
作者 周磊
出处 《扬州大学学报(自然科学版)》 CAS 北大核心 2014年第4期47-50,共4页 Journal of Yangzhou University:Natural Science Edition
基金 江苏省高校自然科学基金资助项目(13KJB510039)
关键词 片上网络 动态散热管理 温度感知 自适应路由 network on chip dynamic thermal management temperature aware adaptive routing algorithm
  • 相关文献

参考文献12

  • 1KAHNG A B. The ITRS design technology and system drivers roadmap: Process and status [C]//50th Design Automation Conference (DAC). Austin, USA: IEEE, 2013: 1-6.
  • 2PALESI M, DANESHTALAB M. Routing algorithms in networks omchip [M]. Berlin: Springer, 2014: 1-8.
  • 3FRESSE V, GE Z, "FAN ], et al. Case study: I)eployment of the 2D NoC on 3D for the generation of large emu- lation platforms [C]//23rd IEEE International Symposium on Rapid System Prototyping. Tampere, Finland: IEEE, 2012; 23-29.
  • 4XIANG D, I.IU G, CHAKRABARTY K, et al. Thermal aware test scheduling for NOC-based 3D integrated circuits [C]//2013 IF1P/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC). Is tanbul, Turkey: IEEE, 2013:96 101.
  • 5RAHMANI A M, VADDINA K R, LATIF K, et al. Design and management of high-performance, reliable and thermal-aware 3D networks on chip [J]. lET Circuits Devices Syst, 2012, 6(5): 308 321.
  • 6CHEN K C, I.IN S Y, HUNG H S, et al. Topology-aware adaptive routing for nonstationary irregular mesh in throttled 3d noc systems [J]. IEEE Trans Parallel Distrib Syst, 2013, 24(10) : 2109-2120.
  • 7HASSANP()UR N, HESSABI S, HAMEDANI P K. Temperature control in three-network on chips using task migration [J]. IETComput Digit Tech, 2013, 7(6): 274 -281.
  • 8CHENG Y, ZHANG L, HAN Y, et al. Thermal-constrained task allocation for interconnect energy reduction in 3-I) homogeneous MPSoCs [J]. 1EEE Trans Very Large Scale Integr VLSI Syst, 2013, 21(2): 239-249.
  • 9VAN DER PLAS G, LIMAYE P, LO1 I, et al. Design issues and considerations for low cost 3 D TSV IC tech- nology[J]. IEIa2EJ Solid State Circuits, 2011, 46(1): 293-307.
  • 10KUO C (', (7HEN K C, CHANG E J, et al. Proactive thermal-budget-based behway routing algorithm for thermal-aware 3D NoC ystems [C]//2013 International Symposium on System on Chip (SoC). Tampere, Fin- land: IEEE, 2013: 1-4.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部