期刊文献+

一种组合延迟槽和预译码技术的新型分支预测器 被引量:2

A Novel Branch Predictor Combining with Delay Slot and Pre-decode Techniques
下载PDF
导出
摘要 分支预测是现代微处理器普遍用于提高指令吞吐率的关键技术,随着处理器性能需求的不断增长,分支预测结构越来越复杂,其功耗问题也日益突出.针对SPARC V8架构嵌入式处理器的结构特点和应用需求,设计了一种基于延迟槽的动态分支预测器,以此来提高处理器的预测性能;另外,通过分析动态预测器的几种设计空间,提出采用预译码机制来消除无效访问的电路结构,从而降低预测器的功耗开销.该设计在SMIC 0.13μm工艺下实现,分支预测准确度相比于之前的静态算法提高了21%,动态预测器本身的功耗降低了58%,而整个处理器的面积仅增加1.73%.结果表明,这种组合延迟槽和预译码技术的分支预测思想对SPARC处理器的性能和功耗都达到了很好的优化效果. Branch prediction is a critical technique for modem processors to improve instruction throughput. With increasing require- ments on processor performance, the structure of branch predictor becomes more and more complex, and so its power consumption is extremely prominent. Aiming at the characteristics and applications of the SPARC V8 embedded processor, this paper proposed a dy- namic branch predictor based on delay slot to improve the processor's performance. In addition,it analyzed several design methods for this dynamic predictor, and used pre-decode circuit for eliminating invalid accesses to the predictor in order to reduce the power of the predictor. This design has been implemented in SMIC 0. 13 μm technology, and the experimental results show that the prediction accu- racy is improved by 21% compared with the original static prediction method, that the power of the dynamic predictor is reduced by 58%, and that the chip area for the whole processor is increased by only 1.73%. As a result,this branch predictor combining with de- lay slot and pre-decode techniques brings an outstanding effect on the performance and power optimization for the SPARC processor.
出处 《小型微型计算机系统》 CSCD 北大核心 2015年第4期820-825,共6页 Journal of Chinese Computer Systems
基金 国家"八六三"项目(2011AA120204)资助 "十二五"民用航天某预研项目(YY2011-012(D020201))资助
关键词 分支预测 SPARC 延迟槽 预译码 低功耗 branch prediction SPARC delay slot pre-decode low power
  • 相关文献

参考文献5

二级参考文献62

  • 1汪永威,樊晓桠,黄小平.32位RISC微处理器中分支预测器的硬件实现[J].计算机应用研究,2009,26(2):419-421. 被引量:3
  • 2黄海林,范东睿,许彤,唐志敏.嵌入式处理器中访存部件的低功耗设计研究[J].计算机学报,2006,29(5):815-821. 被引量:11
  • 3陈智勇.流水线微处理器的设计与实现[J].桂林电子科技大学学报,2007,27(3):219-223. 被引量:4
  • 4Evers M,Yeh T Y.Understanding branches and designing branch predictors for high-performance microprocessors[J].Proceedings of the IEEE,2001,89(11):1610-1620.
  • 5Perleberg C H,Smith A J.Branch target buffer design and optimization[J].IEEE Transactions on Computers,1993,42(4):396-412.
  • 6Parikh D,Skadron K,Zhang Y,et al.Power-aware branch prediction:characterization and design[J].IEEE Transactions on Computers,2004,53(2):168-186.
  • 7Chang Y J.Lazy BTB:reduce BTB energy consumption using dynamic profiling[C]//Proceedings of Conference on Asia South Pacific Design Automation,Yokohama,2006:917-922.
  • 8Deris K J,Baniasadi A.Branchless cycle prediction for embedded processors[C]//Proceedings of ACM Symposium on Applied Computing,Dijon,2006:928-932.
  • 9Petrov P,Orailoglu A.Low-power branch target buffer for application-specific embedded processors[J].IEE Proceedings on Computers and Digital Techniques,2005,152(4):482-488.
  • 10Wang S,Hu J,Ziavras S G.BTB access filtering:a low energy and high performance design[C]//Proceedings of IEEE Computer Society Annual Symposium on VLSI,Montpellier,2008:81-86.

共引文献5

同被引文献5

引证文献2

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部