期刊文献+

6-T CMOS SRAM单元稳定性分析及设计优化 被引量:2

Stability Analysis and Design Optimization of 6-T CMOS SRAM Cells
下载PDF
导出
摘要 介绍了一种由两个交叉耦合反向器构成的6-晶体管(6-T)存储单元的噪声容限分析方法。对6-T CMOS SRAM单元的稳定性作了分析及仿真。借助SPICE和MATLAB工具,对存储单元在数据保持和数据读取时的稳定性、数据写入过程中的可靠性及其之间的关系进行了深入研究。对可能影响噪声容限的因素,如单元比、上拉比、MOS管的阈值电压、位线预充电压、电源电压以及温度进行了仿真讨论,并从中得到合适的电路设计参数。流片结果表明,理论分析与实测数据相符。分析数据对基于CSMC 0.5μm CMOS工艺的SRAM电路设计优化具有指导作用。 A method for characterizing the noise margin of a 6-Tansistor( 6-T) memory cell formed by two cross-coupled inverters was introduced. The stability of 6-T CMOS SRAM cells was investigated and simulated. By using the SPICE and MATLAB software,the cell stability during data hold and read period as well as its relationship with write ability were deeply investigated. The effects of several parameters which may have impact on the noise margin such as cell ratio, pull-up ratio, MOS threshold voltage,bit-line pre-charge voltage, power supply voltage and temperature were simulated and discussed. The design parameters for the circuit were obtained. The test results show that theoretical analyses agree with the measured data. The statistical results have a guidance function to SRAM circuit design optimization based on 0. 5 μm CSMC CMOS process.
出处 《半导体技术》 CAS CSCD 北大核心 2015年第4期261-272,共12页 Semiconductor Technology
关键词 6-T存储单元 噪声容限 读稳定性 写可靠性 设计优化 6-T SRAM cell noise margin read stability write ability design optimization
  • 相关文献

参考文献10

  • 1钱榴源,陈则王.一种超深亚微米SRAM存储单元的设计方法[J].佳木斯大学学报(自然科学版),2012,30(2):213-217. 被引量:1
  • 2李少君,王子欧,王媛媛,张立军.新型高可靠性低功耗6管SRAM单元设计[J].现代电子技术,2011,34(16):123-125. 被引量:2
  • 3SEEVINCK E, LIST F J, LOHSTROH J. Static-noise margin analysis of MOS SRAM cells [ J]. IEEE Journal of Solid-State Circuits, 1987, 22 (5): 748-750.
  • 4JAEGER R C, BLALOCK T N. Mieroelectronic circuit design [ M ]. 4 ed. New York: McGraw-Hill Compa- nies, 2010: 419-428.
  • 5AGARWAL K, NASSIF S. The impact of random device variation on SRAM Cell stability in sub-90-nm CMOS technologies [ J ]. 1EEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008, 16 (1): 86-87.
  • 6BHAVNAGARWALA A J, TANG X H, MEINDL J D. The impact of intrinsic device fluctuations on CMOSSRAM cell stability [ J ]. IEEE Journal of Solid-State Circuits, 2001, 36 (4): 658-659.
  • 7HAUSER J R. Noise margin criteria for digital logic cir- cuits [J]. IEEE Rransaction on Education, 1993, 36 (4): 366-368.
  • 8HASSANZADEH S, ZAMANI M, HAJSADEGHI K, et al. A novel low power 8T-cell sub-threshold SRAM with improved read-SNM [ C] //Proceedings of the 8ts Inter- national Conference on Design & Technology of Integrated Systems in Nanoseale Era. USA, 2013: 35-38.
  • 9ARANDILLA C D C, ALVAREZ A B, ROQUE C R K. Static noise margin of 6T SRAM cell in 90-nm CMOS [ C] ff Proceedings of the 13'h International Conference on Modeling and Simulation. Cambridge, UK, 2011: 534-539.
  • 10GROSSAR E, STUCCHI M, MAEX K. Read stability and write-ability analysis of SRAM cells for nanometer technologies [ J]. IEEE Journal of Solid-State Circuits, 2006, 41 (11): 2577-2579.

二级参考文献17

  • 1SERY G. Life is CMOS: why chase life after? [C]// Proceedings of the IEEE Design Automation Conference. [S. l]: IEEE, 2002: 78-83.
  • 2LIU Z, KURSUN V. Characterization of a novel nine-transistor SRAM cell [J].IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008,16(4): 488-492.
  • 3RABEY J M, CHANDRAKASAN A, NIKOLIC B.数字集成电路:电路、系统与设计[M].周润德,译.2版.北京:电子工业出版社,2005.
  • 4MIZUNO T, OKAMURA J. A toriumi, experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's [J]. IEEE Trans. Electron Devices, 1994, 41: 2216-2221.
  • 5TANG X, DE V, MEINDL J D. Intrinsic MOSFET parameter fluctuations due to random dopant placement [J]. IEEE Trans. VLSI Systems, 1997, 5: 369-376.
  • 6BURNETT D, ERINGTON K, SUBRAMANIAN C, et al. Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits [J]. Proc. Symp. VLSI Tech. , 1994.- 15-16.
  • 7SEEVINCK E, LIST F J, LOHATROH J. Static-noise margin analysis of MOS SRAM cells [J]. IEEE J. Solid State Circuits, 1987, 22(5):748-754.
  • 8CALHOUN B, CHANDRAKASAN A. Static noise margin variation for sub-threshold SRAM in 65nm CMOS [J]. IEEE Journal of Solid-state Circuits, 2006, 41: 1673-1679.
  • 9MOSHOVOS A, FALSAFI B, NAJM F N, et al. A case for a symmetric-cell cache memories [J]. IEEE Transactions on Very Large Scale Integration Systems, 2005, 13 (7) : 877.
  • 10SEEVIK E, LIST F. Static noise margin analysis of MOS SRAM Cells [J]. IEEE Journal Solid-State Circuits,1987, 5 :48-754.

共引文献1

同被引文献1

引证文献2

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部