期刊文献+

基于SRAM和STT-RAM的混合指令Cache设计

. Design of hybrid instruction Cache based on SRAM and STT-RAM
下载PDF
导出
摘要 随着工艺尺寸减小,传统基于SRAM的片上Cache的漏电流功耗成指数增长,阻碍了片上Cache容量的增加。基于牺牲者Cache的原理,利用SRAM写速度快,STT-RAM的非易失性、高密度、极低漏电流功耗等特性设计了一种基于SRAM和STT-RAM的混合型指令Cache。通过实验证明,该混合型指令Cache与传统基于SRAM的指令Cache相比,在不增加指令Cache面积的情况下,增加了指令Cache容量,并显著提高了指令Cache的命中率。 With the decrease of the grain size, the leakage power of traditional on-chip SRAM-based Cache increases expo-nentially, which hinders the increase of capacity of Cache on chip. As SRAM’s write speed is faster and STT-RAM is non-volatile, high density and very low leakage power, this paper designs a hybrid instruction Cache with SRAM and STT-RAM. The experimental results show that, compared with the SRAM-based instruction Cache, the hybrid instruction Cache increases capacity and significantly improves the hit rate without increasing the area.
出处 《计算机工程与应用》 CSCD 北大核心 2015年第12期43-48,共6页 Computer Engineering and Applications
基金 国家自然科学基金(No.60773223 No.61003037 No.60736012 No.61173047) 西北工业大学基础研究基金(No.JC20110224 No.JC201212)
关键词 自旋转移力矩随机存储器(STT-RAM) 指令CACHE 混合Cache Spin-Transfer Torque Random Access Memory( STT-RAM) instruction Cache hybrid Cache
  • 相关文献

参考文献20

  • 1黄如,黎明,安霞,王润声,蔡一茂.后摩尔时代集成电路的新器件技术[J].中国科学:信息科学,2012,42(12):1529-1543. 被引量:12
  • 2Wu Xiaoxia, Li Jian, Zhang Lixin, et al.Hybrid cache architecture with disparate memory technologies[J].ACM SIGARCH Computer Architecture News, 2009, 37 (3) : 34-45.
  • 3Lain C.Cell design considerations for phase change memory as a universal memory[C]//International Symposium on VLSI Technology, Systems and Applications, 2008 : 132-133.
  • 4Jadidi A, Arjomand M, Sarbazi-Azad H.High-endurance and performance-efficient design of hybrid cache archi- tectures through adaptive line replacement[C]//2011 Inter- national Symposium on Low Power Electronics and Design (ISLPED), 2011 79-84.
  • 5Jouppi N P.Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers[C]//17th Annual Intemational Symposium on Computer Architecture, 1990: 364-373.
  • 6Zhou Ping, Zhao Bo, Yang Jun, et al.Energy reduction forSTT-RAM using early write termination[C]//IEEE/ACM International Conference on Computer-Aided Design- Digest of Technical Papers,ICCAD 2009,2009:264-268.
  • 7Chen Yiran, Li Hai, Wang Xiaobin, et al.A nondestructive self-reference Scheme for Spin-Transfer Torque Random Access Memory (STT-RAM) [C]//Design, Automation & Test in Europe Conference & Exhibition(DATE),2010: 148-153.
  • 8Smullen C W,Mohan V,Nigam A,et al.Relaxing non- volatility for fast and energy-efficient STT-RAM caches[C]// 2011 IEEE 17th International Symposium on High Per- formance Computer Architecture (HPCA), 2011 : 50-61.
  • 9Sun Zhenyu, Bi Xiuyuan, Li Hai, et al.Multi retention level STT-RAM cache designs with a dynamic refresh scheme[C]//Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, 2011: 329-338.
  • 10Xu Wei, Sun Hongbin,Wang Xiaobin, et al.Design of last-level on-chip cache using Spin-Torque Transfer RAM (STT RAM)[J].IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2011,19(3) :483-493.

二级参考文献43

  • 1Moore G E. Progress in digital integrated electronics. In: International Electron Devices Meeting (IEDM'75), Wash- ington, 1975. 11-13.
  • 2Dennard R H, Gaensslen F H, Kuhn L, et al. Design of micron MOS switching devices. In: International Electron Devices Meeting (IEDM'72), Washington, 1972. 168-170.
  • 3Iwai H, Ohmi S. Trend of CMOS downsizing and its reliability. In: Microelectron Reliab, 2002, 42:1251-1258.
  • 4MShima K O, Yamaguchi A, Sakoda T, et al. High-performance low operation power transistor for 45 nm node universal applications. In: Symposium on VLSI Technology(VLSI'06), Honolulu, 2006. 196-198.
  • 5Lim K Y, Lee. H, Ryu C, et al. Novel stress-memorization-technology (SMT) for high electron mobility enhancement of gate last high-k/metal gate devices. In: International Electron Devices Meeting (IEDM'10), San Francisco, 2010. 229-232.
  • 6Ang K W, Chui K J, Bliznetsov V, et al. Enhanced performance in 50nm N-MOSFETs with silicon-carbon source/drain regions. In: International Electron Devices Meeting (IEDM'04), San Francisco, 2004. 1069-1071.
  • 7Auth C, Cappellani A, Chun J S, et al. 45nm High-k + metal gate strain-enhanced transistors. In: Symposium on VLSI Technology(VLSI'08), Honolulu, 2008. 128-129.
  • 8Ghani T, Armstrong M, Auth C, et al. A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors. In: International Electron Devices Meeting (IEDM'03), Washington, 2003. 978-980.
  • 9Tamura N, Shimamune Y, Maekawa H, et al. Embedded silicon germanium (eSiGe) technologies for 45nm nodes and beyond. In: International workshop on Junction Technology(IWJT '08), Shanghai, 2008. 73-77.
  • 10Eneman G, Jurczak M, Verheyen P, et al. Scalability of strained nitride capping layersfor future CMOS generations. In: European Solid-State Device Research Conference(ESSDERC'05), Grenoble, 2005. 449-452.

共引文献11

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部