期刊文献+

嵌入式微处理器中的低功耗Cache技术研究 被引量:1

Research on Low Power Consumption Cache Technology in Embedded Microprocessor
下载PDF
导出
摘要 高速缓冲存储器(Cache)作为微处理器的重要组成部分,在芯片面积和功耗上都占比过高。针对Cache功耗问题,基于分段访问Cache技术和路预测Cache技术,提出一种低功耗组相联Cache的预访问策略。在Cache中增加一个缓冲寄存器(Buffer),用以存储最近Cache命中后被访问的标签和数据子阵列信息。在开始进行标签访问之前,选中该Buffer,并将所访问的Cache标签和Buffer标签进行匹配,根据匹配结果选择采用路预测访问或分段访问方式。通过Mi Bench基准测试程序并使用Simple Scalar和Sim-Panalyzer进行实验,结果表明,与传统组相联Cache技术相比,该策略能降低25.15%的能量延迟积。 Cache,as an important part of the microprocessor, accounts for an undueproportion of the chip area and power consumption, which is a problem needs to be solved, especially the intensiveenergy cost. Under the background and based on two kinds of micro structural-level low-power optimization techniques for set-associative Cache phased Cache, as well as the way-predicting one, this paper proposes a low-power set-associative pre-access Cache strategy. In this strategy, Buffer is added in Cache to store the hit tag and data subarray-information from hit Cache. It selects the buffer before accessing the tag, and then matches the tag from two parts accessed Cache and Buffer. Referring to the matching result, way-predicting or phased Cache can be chosen to access. Experimental results through MiBench benchmarks, Simple-Scalar and Sim-Panalyzer show that Energy-delay Product ( EDP ) can be reduced by 25. 15% in this strategy.
出处 《计算机工程》 CAS CSCD 北大核心 2015年第7期75-81,共7页 Computer Engineering
关键词 低功耗 高速缓冲存储器 多路组相联 路预测 分阶段 预访问 low power consumption Cache multi-way set-associative way-predicting phased pre-access
  • 相关文献

参考文献9

  • 1Henessy J L,Patterson D A.计算机系统结构:量化研究方法[M].3版.郑纬民,译.北京:电子工业出版社,2004.
  • 2Hasegawa A,Kawasaki I,Yamada K,et al.SH3:High Code Density,Low Power[J].IEEE Micro,1995,15(6):11-19.
  • 3Inoue K,Ishihara T,Murakami K.Way-predicting Setassociative Cache for High Performance and Low Energy Consumption[C]//Proceedings of ISLPED’99.San Diego,USA:IEEE Press,1999:273-275.
  • 4Zhu Zhichun,Zhang Xiaodong.Access-mode Predictions for Low-pow er Cache Design[J].IEEE Micro,2002,22(2):58-71.
  • 5Li Quanquan,Bao Lidan,Zhang Tiejun,et al.Low Pow er Optimization of Instruction Cache Based on Tag Check Reduction[C]//Proceedings of ICSICT’12.Washington D.C.,USA:IEEE Press,2012:1-3.
  • 6王冶,张盛兵,王党辉.基于预缓冲机制的低功耗指令Cache[J].计算机工程,2012,38(1):268-269. 被引量:2
  • 7任小西,刘清.一种低功耗动态可重构cache算法的研究[J].计算机应用研究,2013,30(2):414-416. 被引量:3
  • 8Ye Jiongyao,Jin Jiannan,Watanabe T.A Behavior-based Reconfigurable Cache for the Low-power Embedded Processor[C]//Proceedings of ASICON’11.Washington D.C.,USA:IEEE Press,2011:1-5.
  • 9Chen Hsin-Chuan,Chiang Jen-Shiun.Low-power Waypredicting Cache Using Valid-bit Pre-decision for Parallel Architectures[C]//Proceedings of Conference on Advanced Information Netw orking and Applications.Washington D.C.,USA:IEEE Press,2005:203-206.

二级参考文献16

  • 1马志强,季振洲,胡铭曾.基于分类访问的低功耗联合式cache方案[J].哈尔滨工程大学学报,2007,28(1):21-25. 被引量:3
  • 2Ghose K, Kamblem B. Reducing Power in Superscalar Processor Caches Using Subbanking, Multiple Line Buffers and Bit-line Segmentation[C]//Proc. of International Symposium on Low Power Electronics and Design. San Diego, USA: [s. n.], 1999.
  • 3ITU-T, G841 (1998). Types and characteristics of SDH network protection architectures [S].
  • 4YD/T1078-2000.中华人民共和国通信行业标准.SDH传输网技术要求--网络保护结构间的互通[S].[S].,..
  • 5INOUE K,ISHIHARA T,MURAKAMI K. Way-predicting set-associative cache for high perfomance and low energy consumption[A].New York:acm Press,1999.273-275.
  • 6RAVEENDRAN B K,SUDARSHAN T S B,PATIL A. Predictive placement scheme in set-associative cache for energy efficient embedded systems[A].Washington,DC:IEEE Computer Society,2008.152-157.
  • 7LEVISON N,WEISS S. Low power branch prediction for embedded application processors[A].New York:acm Press,2010.67-72.
  • 8ALI K,ABOELAZE M,DATTA S. Energy efficient l-cache using multiple line buffers with prediction[J].Computers&Digital Techniques,2008,(05):355-362.
  • 9HSU P H,CHIEN S Y. Reconfigurable cache memory architecture for integral image and integral histogram applications[A].Washington,DC:IEEE Computer Society,2011.151-156.
  • 10ALIPOUR M,MOSHARI K,BAGHERI M R. Performance per power optimum cache architecture for.embedded applications,a design space exploration[A].Washington,DC:IEEE Computer Society,2011.1-6.

共引文献3

同被引文献7

引证文献1

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部