期刊文献+

片上网络的访存延迟均衡性

Latency equalization of memory access in network-chips
下载PDF
导出
摘要 对片上网络访存延迟均衡性展开了研究,提出基于总延迟预测的访存报文仲裁技术。首先,依据访存报文后续路径的拥塞信息预测访存报文未来等待延迟,并计算出总延迟。其次,基于预测的总延迟对竞争同一链路的访存报文进行仲裁。在Mesh片上网络路由器中,对该技术进行了设计和实现。实验结果表明:在不同的网络规模和报文注入率下,与经典Round-Robin仲裁机制相比,本文技术能够极大减少片上访存的最大延迟和延迟标准差,减少平均延迟,证明能够获得更佳的访存延迟均衡性。 A novel arbitration technique for memory access packets is proposed,which is based on the round-trip latency prediction.First,the congestion information in the subsequent path of memory access packets is used to predict the waiting latencies of the memory access packets in the future,and then the round-trip latencies are calculated.Second,the predicted round-trip latencies are used to decide the arbitration for the memory access packets contending for the same link.The proposed technique is designed and implemented in the routers of mesh-based NoCs.Experimental results show that,under different network sizes and packet injection rates,compared with the classic Round-Robin arbitration mechanism,the proposed technique can greatly reduce the maximum latency,the average latency and the latency standard deviation of on-chip memory accesses,and it is proved to achieve better latency equalization of memory access.
出处 《吉林大学学报(工学版)》 EI CAS CSCD 北大核心 2015年第5期1624-1630,共7页 Journal of Jilin University:Engineering and Technology Edition
基金 国家自然科学基金项目(61171079) 湖南省自然科学基金项目(2015JJ3017) 高等学校博士学科点专项科研基金项目(20134307120034)
关键词 通信技术 片上网络 访存延迟 众核架构 仲裁技术 均衡性 communication technology network-on-chip(NOC) memory access latency many-core architectures arbitration technique equalization
  • 相关文献

参考文献16

  • 1Horowitz M,Dally W.How scaling will change processor architecture[C]∥International Solid-State Circuits Conference(ISSCC'04),San Francisco,US,Digest of Technical Papers,2004:132-133.
  • 2Borkar S.Thousand core chips:a technology perspective[C]∥Proceedings of the 44th Design Automation Conference(DAC'07),San Diego,US,2007:746-749.
  • 3Owens J D,Dally W J.Research challenges for onchip interconnection networks[J].IEEE Micro,2007,27(5):96-108.
  • 4Marinissen E,Prince B,Keltel-Schulz D,et al.Challenges in embedded memory design and test[C]∥Proceedings of Design,Automation and Test in Europe Conference(DATE'05),Munich,Germany,2005:722-727.
  • 5Genius D.Measuring memory access latency for software objects in a NUMA system-on-chip architecture[C]∥Proceedings of the 8th International Workshop on Reconfigurable and CommunicationCentric Systems-on-Chip(ReCo-SoC),Darmstadt,Germany,2013:1-8.
  • 6Majo Z,Gross T R.Memory system performance in a NUMA multicore multi-processor[C]∥Proceedings of the 4th Annual International Conference on Systems and Storage,Haifa,Israel,2011:1-10.
  • 7Mutlu O,Moscibroda T.Stall-time fair memory access scheduling for chip multiprocessor[C]∥Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture(MICRO),Chicago,US,2007:146-160.
  • 8Daneshtalab M,Ebrahimi M,Plosila J,et al.CARS:congestion-aware request scheduler for network interfaces in NoC-based manycore systems[C]∥Proceedings of Design,Automation and Test in Europe Conference(DATE'13),Grenoble,France,2013:1048-1051.
  • 9Kim D,Yoo S,Lee S.A network congestion-aware memory controller[C]∥Proceedings of the 4th ACM/IEEE International Symposium on Networkson-Chip,Grenoble,France,2010:257-264.
  • 10Zhang G,Wang H,Chen X,et al.Fair memory access scheduling for quality of service guarantees via service curves[C]∥Proceedings of the 10th IEEE International Symposium on Parallel and Distributed Processing with Applications,Madrid,Spain,2012:174-181.

二级参考文献21

  • 1Agarwal A. On-chip interconnection architecture of the tile processor. IEEE Micro, 2007, 27(5): 15-31.
  • 2TILERA. Tile-GXTM Processor Family Product Brief. http: //www. tilera, corn/products/processor, php.
  • 3An 80-tile 1.28TFLOPS network-on-chip in 65nm CMOS// Proceedings of the IEEE International Solid-State Circuit Conference. San Francisco, 2007, 98-589.
  • 4Benini L, Micheli G D. Networks on chips: A new SoC paradigm. IEEE Transactions on Computers, 2002, 35 (1): 70-78.
  • 5Wulf W A, McKee S A. Hitting the memory wall: Implications of obvious. Computer Architecture News, 1995, 23 (1) : 20-24.
  • 6Burger D, Goodman J R et al. Memory bandwidth limitations of future microprocessors//Proceedings of the International Symposium on Computer Architecture. New York, NY, USA, 1996:77-78.
  • 7Nesbit K J, Aggarwal N, Laudon J, Smith J E. Fair queuing memory systems//Proeeedings of the 39th Annual IEEE/ ACM International Symposium on Microarehitecture. Washington, DC, USA, 2006 : 208-222.
  • 8Mutlu O, Moscibroda T. Stall-time fair memory access scheduling for chip multiprocessors//Proceedings of the International Symposium on Micro-Architecture. Washington, DC, USA, 2007:146- 160.
  • 9Mutlu O, Moscibroda T. Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared dram systems//Proceedings of the International Symposium on Computer Architecture. New York, NY, USA, 2008: 63-74.
  • 10Dutt N. Memory-aware NoC exploration and design//Proceedings of the Design, Automation& Test in Europe Conference. Munich, Germany, 2008:1128-1129.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部