期刊文献+

一种指令快速完成的ROB退休方案

Retirement proposal of ROB based on instructions fast completed
下载PDF
导出
摘要 针对超标量处理器中长延时的指令长时占用重排序缓存的顶端引起流水线退休缓慢的问题,提出了一种将无异常风险的指令快速退休并将运算结果乱序回写的高效退休机制。该方案将结果缓存器与重排序缓存分离,其中结果缓存器作为运算结果回写的缓存器,重排序缓存负责指令按序退休与精确异常的维护。重排序缓存单元在确认指令不会发生异常后,将指令从重排序缓存中快速退休,结果缓存器继续等待结果并进行乱序回写。实验结果表明,在硬件资源相同的情况下,通过提高重排序缓存器的使用效率,基于该方案的处理器相比于传统的按序退休处理器的性能平均提高33%。 In high-performance superscalar microprocessors, when long latency instructions reach the top of reorder buffer, instructions in the pipeline retire slowly. In this paper, a highly efficient retirement architecture is proposed, in which instructions can fast retire as soon as they are confirmed without exception and mis-prediction. Then results can be written back out of order. A result buffer is seperated from reorder buffer to achieve register renaming and out-of-order writing back. Reorder buffer is in charge of instructions' s in-order retirement and maintance of the precise exception. When the reorder buffer confirms that an instruction will not generate exception, this instruction fast retires from the reorder buffer and waits for the result in the result buffer. The experiment shows that in the condition of the same resource, the performance can be increased by 33% compared to the traditional architecture.
出处 《计算机工程与应用》 CSCD 北大核心 2015年第24期40-44,共5页 Computer Engineering and Applications
关键词 按序退休 重排序缓存 快速退休 乱序回写 in-order retirement Reorder Buffer(ROB) fast retire out-of-order write back
  • 相关文献

参考文献14

  • 1Mutlu O,Stark J,Wilkerson C,et al.Runahead execution:an alternative to very large instruction windows for outof-order processors[C]//Proceedings the Ninth International Symposium on High-Performance Computer Architecture.Los Alamitos:IEEE Computer Society,2003:129-140.
  • 2Kirman N,Kirman M,Chaudhuri M,et al.Checkpointed early load retirement[C]//Proceedings the 11th International Symposium on High-Performance Computer Architecture.Los Alamitos:IEEE Computer Society,2005:16-27.
  • 3Petit S,Ubal R,Sahuquillo J,et al.An efficient low-complexity alternative to the ROB for out-of-order retirement of instructions[C]//Digital System Design,Architectures,Methods and Tools,DSD’09.Los Alamitos:IEEE Computer Society,2009:635-642.
  • 4Sima D.The design space of register renaming techniques[J].Micro,2000,20(5):70-83.
  • 5Zhou P,?nder S,Carr S.Fast branch misprediction recovery in out-of-order superscalar processors[C]//Proceedings the10th International Conference on Supercomputing,ICS’05.Los Alamitos:IEEE Computer Society,2005:41-50.
  • 6Burtscher M,Zorn B G.Hybrid load-value predictors[J].IEEE Trans on Computers,2002,51(7):759-774.
  • 7Lebeck A R,Koppanalil J,Li T,et al.A large,fast instruction window for tolerating cache misses[C]//Proceedings29th Annual International Symposium on Computer Architecture.Los Alamitos:IEEE Computer Society,2002:59-70.
  • 8Yeager K C.The MIPS R10000 superscalar microprocessor[J].Micro,1996,16(2):28-41.
  • 9Park I,Ooi C L,Vijaykumar T N.Reducing design complexity of the load/store queue[C]//36th Annual IEEE/ACM International Symposium on Microarchitecture,MICRO-36.Los Alamitos:IEEE Computer Society,2003:411-422.
  • 10Afram F,Zeng H,Ghose K.A group-commit mechanism for ROB-based processors implementing the X86 ISA[C]//19th International Symposium on High Performance Computer Architecture,HPCA-19.Los Alamitos:IEEE Computer Society,2013:47-58.

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部