期刊文献+

面向非规则三维片上网络的自适应可靠路由方法 被引量:2

Adaptive Reliable Routing Scheme for Irregular 3D No Cs
下载PDF
导出
摘要 面向存在永久性链接故障的非规则三维片上网络,提出一种低成本自适应可靠路由方法.首先根据非规则三维片上网络的拓扑结构,优先选择一条汉密尔顿路径进行容错路由,在没有汉密尔顿路径的情况下,则执行生成树容错路由算法绕过故障链接;然后将基于动态规划的端口选择机制拓展到三维空间,结合前述路由算法来避开网络冲突区域,完成将数据包由源路由器节点传输至目的路由器节点的路由过程.实验结果表明,与之前的AFRA方法和基于生成树的可靠路由方法相比,该方法具有较高的通信性能和可靠性,同时所需的网络开销较低. In this paper, we propose a low-cost adaptive reliable routing scheme for the faulty links in the ir-regular 3D networks-on-chip (NoCs). Firstly, according to the topology of the irregular 3D NoC, fault-tol-erant routing is preferably conducted on a Hamiltonian path; if there is no Hamiltonian path in the network, the spanning-tree-based routing algorithm is applied to route the packets to bypass the invalid links. Secondly, in order to avoid the congestion area of the network, the dynamic-programming-based port selec-tion strategy is extended to the 3D scenarios, and adopted together with the aforementioned routing algo-rithm to implement the routing process from the source router nodes to the destination ones. Experimental results show that the proposed scheme has higher performance, better reliability, and lower overhead in comparison with the previous AFRA and the spanning-tree-based reliable routing scheme.
出处 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2016年第2期345-354,共10页 Journal of Computer-Aided Design & Computer Graphics
基金 国家自然科学基金(61432017 61176040 61221062) 国家"九七三"重点基础研究发展计划项目(2011CB302501)
关键词 三维片上网络 非规则拓扑 自适应路由 永久性故障 容错 3D network-on-chip irregular topology adaptive routing permanent fault fault-tolerance
  • 相关文献

参考文献19

  • 1Banerjee K, Souri S J, Kapur P, et al. 3-D ICs: a novel chip designfor improving deep-submicrometer interconnect performanceand systems-on-chip integration[J]. Proceedings of theIEEE, 2001, 89(5): 602-633.
  • 2Choudhary N. Migration of on-chip networks from 2 dimensionalplane to 3 dimensional plane[J]. International Journal ofEngineering and Advanced Technology, 2013, 2(4): 516-519.
  • 3Matsutani H, Bogdan P, Marculescu R, et al. A case for wireless3D NoCs for CMPs[C] //Proceedings of the 18th Asia andSouth Pacific Design Automation Conference. Los Alamitos:IEEE Computer Society Press, 2013: 23-28.
  • 4Rusu C, Anghel L, Avresky D. Adaptive inter-layer messagerouting in 3D networks-on-chip[J]. Microprocessor and Microsystems,2011, 35(7): 613-631.
  • 5Zhou J, Li H W, Fang Y T, et al. HARS: a high-performancereliable routing scheme for 3D NoCs[C] //Proceedings of IEEEComputer Society Annual Symposium on VLSI. Los Alamitos:IEEE Computer Society Press, 2014: 392-397.
  • 6Akbari S, Shafiee A, Fathy M, et al. AFRA: a low cost highperformance reliable routing for 3D mesh NoCs[C]//Proceedingsof Design, Automation & Test in Europe Conference &Exhibition. Los Alamitos: IEEE Computer Society Press, 2012:332-337.
  • 7Ebrahimi M, Daneshtalab M, Plosila J. Fault-tolerant routingalgorithm for 3D NoC using Hamiltonian path strategy[C]//Proceedings of Design, Automation & Test in Europe Conference& Exhibition. Los Alamitos: IEEE Computer SocietyPress, 2013: 1601-1604.
  • 8张磊,李华伟,李晓维.用于片上网络的容错通信算法[J].计算机辅助设计与图形学学报,2007,19(4):508-514. 被引量:18
  • 9付斌章,韩银和,李华伟,李晓维.面向高可靠片上网络通信的可重构路由算法[J].计算机辅助设计与图形学学报,2011,23(3):448-455. 被引量:25
  • 10Wang X H, Palesi M, Yang M, et al. Low latency and energyefficient multicasting schemes for 3D NoC-based SoCs[C]//Proceedings of the 19th IFIP/IEEE International Conferenceon VLSI and System-on-Chip. Los Alamitos: IEEE ComputerSociety Press, 2011: 337-342.

二级参考文献52

  • 1欧阳一鸣,余雅琼,郭凯.基于同构片上网络拓扑可重构的容错硬件结构[J].计算机研究与发展,2010,47(S1):164-168. 被引量:2
  • 2张磊,李华伟,李晓维.用于片上网络的容错通信算法[J].计算机辅助设计与图形学学报,2007,19(4):508-514. 被引量:18
  • 3Kumar R,Farkas K I,Jouppi N P,et al.Single-ISA heterogeneous multi-core architectures:the potential for processor power reduction[C]//Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture.Los Alamitos:IEEE Computer Society Press,2003:81-92.
  • 4Dally W J,Towles B.Principles and practices of interconnection networks[M].San Francisco:Morgan Kaufmann Publishers,2004:1-2.
  • 5Zhang L,Han Y H,Xu Q,et al.Defect tolerance in homogeneous manycore processors using core-level redundancy with unified topology[C]//Proceedings of Design,Automation and Test in Europe Conference & Exhibition.Los Alamitos:IEEE Computer Society Press,2008:891-896.
  • 6Zhang L,Han Y H,Li H W.Fault tolerance mechanism in chip many-core processors[J].Journal of Tsinghua Science and Technology,2007,12(Suppl1):169-174.
  • 7Burger D,Goodman J R.Billion-transistor architectures:there and back again[J].Computer,2004,37(3):22-28.
  • 8Chalasani S,Boppana R V.Fault-tolerance with multimodule routers[C]//Proceedings of the 2nd International Symposium on High-Performance Computer Architecture.Los Alamitos:IEEE Computer Society Press,1996:201-210.
  • 9Linder D H,Harden J C.An adaptive and fault tolerant wormhole routing strategy for k-ary n-cubes[J].IEEE Transactions on Computers,1991,40(1):2-12.
  • 10Ho C T,Stockmeyer L.A new approach to fault-tolerant wormhole routing for mesh-connected parallel computers[J].IEEE Transactions on Computers,2004,53(4):427-438.

共引文献46

同被引文献17

引证文献2

二级引证文献3

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部