期刊文献+

基于双位触发器的低功耗技术研究 被引量:2

Research on low power technology based on Multi Bit Flip Flop
原文传递
导出
摘要 随着工艺水平的不断发展以及集成电路的特征尺寸不断减小,目前集成电路已经跨入了超深亚微米的SOC设计阶段,芯片也朝着面积更小、性能更强、功耗更低的方向发展。由于手持设备不断更新换代以及对低功耗有着迫切的需求,关于低功耗的研究成果层出不穷。在后端设计流程中使用双位触发器已经成为目前一种降低时钟网络功耗的有效方法。在标准单元级别,由于双位触发器共用时钟资源,相比两个单位触发器少用了一对反相器。在物理实施阶段,由于双位触发器的使用导致时钟节点的减少,进一步减少时钟树综合阶段所用的缓冲器。这两方面都有效的减少了面积以及降低了功耗。本文将在设计流程中采用双位触发器的方案,并分析双位触发器使用对设计流程各个阶段的影响。 With the development in the process and the characteristic size reduced continuously, the integrated circuit has entered the design phase of SOC ultra deep sub micron and it also towards smaller, higher performance, low power consumption development. With the continuous upgrading of handheld devices and lower power consumption, there is an urgent need for the research on low power consumption. The use of multi-bit flip flop(MBFF) has been shown to be an effective design technique to reduce power consumption of clock network.In the level of standard cell, one MBFF share resources and use two less inverter compared to two SBFFs. In the phase of physical implementation, the reduced number of clock sinks resulting in a simper clock network and less clock buffer. Both of these two level has reduced area and power consumption efficiently. The paper will take the flow with MBFF and analyzes the effect on different phase.
出处 《电子技术(上海)》 2016年第3期5-7,4,共4页 Electronic Technology
基金 浙江省自然科学基金项目(LY15F040001)
关键词 双位触发器 低功耗 时钟 设计流程 MBFF low power clock design process
  • 相关文献

参考文献5

  • 1Santos C, Reis R, Godoi G, et al. Multi-bit flip-flop usage impact on physical synthesis[C]//Integrated Circuits and Systems Design (SBCCI), 2012 25th Symposium on. IEEE, 2012:1-6.
  • 2Jiang H R, Chang C L, Yang Y M. INTEGRA: Fast Multibit Flip-Flop Clustering for Clock Power Saving[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2012, 31(2): 192-204.
  • 3Sathyaa P, Sinduja K. Power Optimization Technique Based On Multi-Bit Flip-Flop Design[J]. International Journal of Engineering Research & Applications, 2014, 4(3).
  • 4Lin P H, Hsu C C, Chang Y T. Recent research in clock power saving with multi-bit flip-flops[C]// Midwest Symposium on Circuits and Systems. 2011:1 - 4.
  • 5Prakash G, Sathishkumar K, Sakthibharathi B, et al. Achieveing reduced area by Multi-bit Flip flop design[C]//Computer Communication and Informatics(ICCCI), 20131nternational Conference on. IEEE, 2013:1-4.

同被引文献12

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部