期刊文献+

基于子树重构的三维时钟树拓扑结构优化 被引量:2

Clock Tree Topology Optimization Based on Reconstructing Subtree Topology for 3D ICs
下载PDF
导出
摘要 传统的基于TSV的三维集成电路时钟树综合流程主要包括抽象拓扑树生成、层嵌入、布线和缓冲器插入.现有的三维时钟抽象拓扑树生成算法大多忽视了对由经典时钟拓扑树生成算法得到的抽象树结构的优化调整.对此提出了一种3D抽象拓扑树优化算法,能够调整特定子树的根节点位置从而优化抽象拓扑树结构.同时,把该优化算法整合到传统的时钟树综合流程中.仿真实验结果表明优化算法可以将三维集成电路时钟网络的总线长减小最多4.56%,而时钟延迟最多可减少14.67%. The traditional TSV-based 3D clock tree synthesis (3D CTS) flow mainly concludes abstract topology generation, embedding, routing and buffering. The classic 3D clock tree abstract topology generation algorithms ignore the adjustment for the generated topology after the first step. In this paper, we propose a clock tree topology optimization algorithm, the LMOR algorithm, for reconstructing the structure of some specific subtrees in the generated 3D clock tree abstract topology and relocating the position of the roots of these subtrees. The simulation results show that the total wirelength and delay can be reduced by up to 4. 56% and 14.67%.
出处 《微电子学与计算机》 CSCD 北大核心 2016年第5期10-14,共5页 Microelectronics & Computer
基金 国家自然科学基金项目(61176037)
关键词 三维集成电路 三维时钟树综合 硅通孔 抽象拓扑树 3D-IC' 3D Clock Tree Synthesis (3D CTS) TSV (Through-silicon via) abstract topology tree
  • 相关文献

参考文献8

  • 1Pan X, Xie J, Wang Q, et al. Noise aware clock tree synthesis for 3D ICs [C] // Solid-State and Integrated Circuit Technology (ICSICT), 2014 12th IEEE Inter- national Conference on. China, Guilin, IEEE, 2014: 1-3.
  • 2Minz J, Zhao X, Lira S K. Buffered clock tree synthe- sis for 3D ICs under thermal variations[C]//Proceed- ings of the 2008 Asia and South Pacific Design Auto- mation Conference. Soul, IEEE Computer Society Press, 2008: 504-509.
  • 3Kim T Y, Kim T. Clock tree embedding for 3D Its [C] // Design Automation Conference (ASP-DAC), 2010 15th Asia and South Pacific. Taiwan, Taipei, IEEE, 2010: 486-491.
  • 4Zhao X, Lim S K. Power and slew-aware clock net- work design for through-silicon-via (TSV) based 3D ICs[C] // Proceedings of the 2010 Asia and South Pa- cific Design Automation Conference. Macao, IEEEPress, 2010: 175-180.
  • 5Kim T Y, Kim T. Clock tree synthesis for TSV-based 3D IC designs [J]. ACM Transactions on Design Au- tomation of Electronic Systems (TODAES), 2011, 16 (4) : 11-21.
  • 6Zhao X, Minz J, Lim S K. Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs [J]. Components, Packaging and Manu- faeturing Technology, 2011, 1(2): 247-259.
  • 7Jackson M A B, Srinivasan A, Kuh E S. Clock rou- ting for high-performance ICs[C]//Design Automation Conference, 1990. Proceedings. 27th ACM/IEEE. USA, Califonia,IEEE, 1990; 573-579.
  • 8Edahiro M. A clustering-based optimization algorithm in zero-skew routings[C]//Proceedings of the 30th in- ternational Design Automation Conference. New York, ACM, 1993.. 612-616.

同被引文献17

引证文献2

二级引证文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部