期刊文献+

引入电流变化率的电源分布网络最差噪声分析算法 被引量:1

A time-domain worst-case noise algorithm for power delivery network with non-zero current transition times
下载PDF
导出
摘要 随着时钟频率的增加以及电源电压的降低,电源完整性问题日益凸显。将电流变化率加入到最差噪声算法的电流约束中,能够在任意电流变化率的情况下分析电源分布网络的最差噪声,从而获得更加真实的最差噪声。另外,利用改进的Knuth-Yao四边形不等式法对基于动态规划的最差噪声算法进行加速,加速后算法的时间复杂度从O(n^2m)降为O(mnlogn)。 With the increasing of clock frequency and the decreasing of supply voltage, power integrity becomes a critical issue. The effect of the transition time of load currents was taken into account, and a more realistic worst-case noise prediction was obtained. In addition, a dynamic programming algorithm is introduced for the time-domain impulse response of the power distribution system, and a modified Knuth-Yao quadrangle inequality speedup method is developed which reduces the time complexity of the algorithm from O(n2m) to 0(mnlogn).
出处 《国防科技大学学报》 EI CAS CSCD 北大核心 2016年第2期82-86,共5页 Journal of National University of Defense Technology
基金 国家自然科学基金资助项目(61272139)
关键词 动态规划 最差噪声 变化率 电源分布网络 时域分析 dynamic programming worst-case noise transition time power delivery network time-domain analysis
  • 相关文献

参考文献11

  • 1Hu X, Zhao W B, Du P, et al. On the bound of time-domain power supply noise based on frequency-domain target impedance [ C ]//Proceedings of the 11 th International Workshop on System Level Interconnect Prediction, ACM, 2009 : 69 - 76.
  • 2Wang Y Z, Hu X, Cheng C K, et al. A realistic early-stage power grid verification algorithm based on hierarchical constraints[ J]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2012, 31(1) : 109 - 120.
  • 3Kouroussis D, Najm F N. A static pattern-independent technique for power grid voltage integrity verification [ C ]// Proceedings of the 40th Annual Design Automation Conference, ACM, 2003 : 99 - 104.
  • 4Abdul Ghani N H, Najm F N. Fast vectodess power grid verification using an approximate inverse technique [ C ]// Proceedings of the 46th Annual Design Automation Conference, ACM, 2009 : 184 - 189.
  • 5Ferzli I A, Najm F N, Krnse L. A geometric approach for early power grid verification using current constraints [ C ]// Proceedings of the IEEE/ACM International Conference on Compnter-aided design, 2007 : 40 -47.
  • 6Xiong X, Wang J. Verifying RLC power grids with transient current constraints[ J]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2013, 32(7) : 1059 - 1071.
  • 7Zhu H, Wang Y, Liu F, et al. Efficient transient analysis of power delivery network with clock/power gating by sparse approximation [ J ]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2015, 34 ( 3 ) : 409 -421.
  • 8Ferzli I A, Chipmut E, Najm F N. Verification and codesign of the package and die power delivery system using wavelets [ J ].IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2010, 29( 1 ) : 92 -102.
  • 9Zhao W, Cai Y, Yang J L. A multilevel 64-matrix-based approximate matrix inversion algorithm for vectorless power grid verification [ C ]//Proceedings of the 18th Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, 2013 : 163 - 168.
  • 10Zhao W, Cai Y, Yang J L. Fast vectorless power grid verification using maximum voltage drop location estimation [ C ]// Proceedings of the 19th Asia and South Pacific Design Automation Conference ( ASP-DAC ), IEEE, 2014: 861 - 866.

同被引文献8

引证文献1

二级引证文献3

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部