期刊文献+

一种基于UVM加快功能验证收敛的方法 被引量:2

A Method of Speeding up Convergence Functional Verification Based on UVM
下载PDF
导出
摘要 功能验证是IC设计最重要的步骤之一。随着设计复杂度的增加,定位缺陷成本也日益增加,许多设计验证团队将相当一部分精力放在高效验证过程开发上。文中以航电CNI系统主机接口模块功能验证为例,采用基于统一覆盖率验证管理技术、UVM验证技术、接口时序断言监控技术,分别从验证计划制定、平台搭建、验证管理与验证结果分析等方面,介绍一种新型的基于统一覆盖率加速功能验证收敛的闭环验证方法。结合UVM验证方法学和断言技术,详细论述构建一种高效率可重用、快速收敛的验证平台的过程。 Functional verification is one of the most important steps in the IC design. With the increase of design complexity,the cost of locating defects is also raised. Many designers will be a great deal of efforts on the development of efficient verification. In this paper,a new type of closed-loop verification method based on uniform coverage is introduced,taking functional verification of the host interface module of CNI system as example,using authentication management technology based on uniform coverage, UVM verification technolo- gy, and monitoring interface timing assertions respectively from the verification plan, platform building, management and authentication results analysis,etc. The process of constructing an efficient,reusable and fast convergent verification platform is discussed in detail by combining UVM verification methodology and assertion technology.
出处 《计算机技术与发展》 2016年第6期111-114,共4页 Computer Technology and Development
基金 中国航空工业集团公司创新基金(2010BD63111)
关键词 UVM VM 验证计划 验证平台 覆盖率 UVM VM test plan test bench coverage
  • 相关文献

参考文献12

  • 1Bhattacharya B, Decker J, Hall G. Advanced Verification Top- ies[ M]. [s. 1. ]:Cadence Design Systems,Inc. ,2012.
  • 2May D. Process management:are you driving in the dark withfaulty headlights[ M ]. [ s. 1. ] : [ s. n. ] ,2013.
  • 3Idrissi R E. ST-Ericsson speeds time to functional verification closure with the questa verification platform[ M]. [ s. 1. ] :ST- Ericsson ,2012.
  • 4I,am W K. Hardware design verification:simulation and formal method-based approachesC M~. C s. !. 1: Is. n. J ,2005.
  • 5Processorlocal bus functional model toolkit [ M ]. USA:IBM, 2003.
  • 6韩霞,杨洪斌,吴悦.面向SoC的事务级验证研究[J].计算机技术与发展,2007,17(3):33-36. 被引量:10
  • 7一个成功的百万门级芯片验证平台[J].中国集成电路,2005,14(6):36-43. 被引量:5
  • 8杨海波,田泽,蔡叶芳,李攀,黎小玉,赵强.FC IP软核的仿真与验证[J].计算机技术与发展,2009,19(9):168-172. 被引量:15
  • 9李哲,田泽,张荣华.AFDX网络交换机芯片关键性能验证方法研究[C]//第十六届计算机工程与工艺年会暨第二届微处理器技术论坛论文集.出版地不详:出版者不详,2012:483-486.
  • 10田靖,田泽.AFDX-ES SoC虚拟仿真平台的构建与应用[J].计算机技术与发展,2010,20(8):192-194. 被引量:23

二级参考文献44

共引文献49

同被引文献15

引证文献2

二级引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部