期刊文献+

针对路径故障与局部拥塞的NoC容错路由算法 被引量:6

A Fault-Tolerant Routing Algorithm Aiming at a Path Fault and Local Congestion in NoC
下载PDF
导出
摘要 片上网络作为一种新型片上互连架构,克服了片上系统在发展中遭遇的瓶颈问题.然而,片上网络中的路由器故障以及路由器之间的链路故障都会造成网络性能损失.对此,文章提出一种针对路径故障与局部拥塞的NoC容错路由算法.首先,设计了一种相隔节点间路径故障模型,该模型下的路由器以较小的开销为代价,动态感知两跳以内的路径故障状态.其次,提出了一种新颖的更能准确反映局部网络拥塞状态的拥塞模型来均衡网络流量.最后,当网络无故障时,算法保证走最优路径;有故障时,算法不仅可以实现容错还能保证网络具有良好的性能.实验表明,在无故障的情况下,本文方案相较于对比对象延迟降低了10%-20%,吞吐率提高了25%左右.在有故障的情况下,本文方案较对比对象的优势更加明显. As a new type of on-chip interconnection architecture, network-on-chip overcomes the bottleneck problem of the system-on-chip during the development. However, a failure arising in a router or a link between routers in network-on- chip will cause the reduction of network performance. To avoid this phenomenon, this paper puts forward a fault-tolerant rou- ting algorithm aiming at a path fault and local congestion in network-on-chip. Firstly, the algorithm designs a fault model that reflects the fault status of the path within two hops. As a result, this novel fault model makes the router achieve a dynamic perception of path state within two hops with less cost. Secondly, a novel congestion model has been proposed for reflecting the state of the local network more accurately, contributing to balance network traffic. Finally, when a fault occurs, the algo- rithm not only is fault-tolerant but also makes sure the network has a good performance. What' s more, the algorithm chooses the optimal path under the condition of fanlt-free. Experimental results show that the proposed algorithm has 10% - 20% lower latency in average and 25% higher throughput rate than the contrast case when the network is fault-free. In the case of defective in the network, the advantage of the present scheme has a bigger superiority.
出处 《电子学报》 EI CAS CSCD 北大核心 2016年第4期920-925,共6页 Acta Electronica Sinica
基金 国家自然科学基金(No.61474036 No.61274036 No.61371025) 安徽省自然科学基金(No.1508085MF117)
关键词 片上网络 故障模型 拥塞模型 容错路由算法 network-on-chip fault model congestion model fault-tolerant routing algorithm
  • 相关文献

参考文献20

  • 1DiTomaso D, Kodi A, Louri A. QORE: A fault tolerant network-on-chip architecture with power-efficient quad- function channel (QFC) buffers [ A]. IEEE 20th Interna- tional Symposium on High Performance Computer Archi- tecture (HPCA) [ C]. IEEE,2014. 320 - 331.
  • 2王新玉,向东,虞志刚.TM:一种新的片上网络拓扑结构[J].计算机学报,2014,37(11):2327-2341. 被引量:10
  • 3欧阳一鸣,陈义军,梁华国,易茂祥,李建华.一种故障通道隔离的低开销容错路由器设计[J].电子学报,2014,42(11):2142-2149. 被引量:11
  • 4Liu C, Zhang L, Han Y, et al. Vertical interconnects squee- zing in symmetric 3D mesh network-on-chip[ A]. Proceed- ings of the 16th Asia and South Pacific Design Automation Conference[ C]. IEEE,2011. 357 - 362.
  • 5欧阳一鸣,张一栋,梁华国,黄正峰.三维片上网络故障及拥塞感知的容错路由器设计[J].电子学报,2013,41(5):912-917. 被引量:15
  • 6付斌章,韩银和,李华伟,李晓维.面向高可靠片上网络通信的可重构路由算法[J].计算机辅助设计与图形学学报,2011,23(3):448-455. 被引量:25
  • 7Feng C, Zhang M, Li J, et al. A low-overhead fault-aware deflection routing algorithm for 3D network-on-chip [ A ]. IEEE Computer Society Annual Symposium on VLSI (IS- VLSI) [C]. IEEE,2011,19 -24.
  • 8刘家俊,顾华玺,王长山.mesh优先级容错路由[J].计算机工程与应用,2009,45(4):105-107. 被引量:3
  • 9Ma S, Jerger N E, Wang Z. DBAR : an efficient routing al- gorithm to support multiple concurrent applications in net- works-on-chip[ A]. 38th Annual International Symposium on Computer Architecture (ISCA) [ C]. IEEE,2011. 413 - 424.
  • 10Wu J. A fault-tolerant and deadlock-free routing protocol in 2D meshes based on odd-even turn model[ J ]. IEEE Transactions on Computers, 2003,52 (9): 1154 - 1 169.

二级参考文献87

  • 1王颀,单智阳,朱云涛,邵丙铣.串扰约束下超深亚微米顶层互连线性能的优化设计[J].电子学报,2006,34(2):214-219. 被引量:4
  • 2张磊,李华伟,李晓维.用于片上网络的容错通信算法[J].计算机辅助设计与图形学学报,2007,19(4):508-514. 被引量:18
  • 3马立伟,孙义和.片上网络拓朴优化:在离散平面上布局与布线[J].电子学报,2007,35(5):906-911. 被引量:8
  • 4Dongkook Park.Design space exploration for fault-tolerant on-chip interconnects[C]//DSN,International Conference on,2006:93-104.
  • 5Hu C,Marculescu R.DyAD-smart routing for networks-on-chip[C]// Proc Design Automation Conference,2004:260-263.
  • 6Pirretti M,Link G M,Brooks R R.Fauh tolerant algorithms for network-on-chip interconnect[C]//VLSI, 2004, Proceedings IEEE Computer Society Annual Symposium on, 19-20 Feb 2004:46-51.
  • 7Kumar R,Farkas K I,Jouppi N P,et al.Single-ISA heterogeneous multi-core architectures:the potential for processor power reduction[C]//Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture.Los Alamitos:IEEE Computer Society Press,2003:81-92.
  • 8Dally W J,Towles B.Principles and practices of interconnection networks[M].San Francisco:Morgan Kaufmann Publishers,2004:1-2.
  • 9Zhang L,Han Y H,Xu Q,et al.Defect tolerance in homogeneous manycore processors using core-level redundancy with unified topology[C]//Proceedings of Design,Automation and Test in Europe Conference & Exhibition.Los Alamitos:IEEE Computer Society Press,2008:891-896.
  • 10Zhang L,Han Y H,Li H W.Fault tolerance mechanism in chip many-core processors[J].Journal of Tsinghua Science and Technology,2007,12(Suppl1):169-174.

共引文献42

同被引文献11

引证文献6

二级引证文献15

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部