期刊文献+

拜尔模式的可编程逻辑器件实现

Realization of Bayer Pattern in Programmable Logic Device
下载PDF
导出
摘要 在数字视频接口的设计中,当信道条件相同时,远距离传输的性能要求使得高数据率的实现难度剧增,为此,常采用数据压缩算法,减小待传输的数据量,降低数据率.提出在可编程逻辑器件上,设计一个状态机,将拜尔模式应用于数字视频接口中,实现数据压缩,简捷易行.经硬件仿真验证,该方案可以将数据率降低为原来的近1/3. When designing digital visual interface, in the same channel conditions, the realization of high transfer data is more difficult by performance requirements for long-distance transmission. Therefore, the data compression algorithm is often used to reduce the amount and transfer rate of data to be transmitted. A Bayer pattern state machine in the digital visual interface is designed in a programmable logic device, which can achieve data compression simply and easily. The hardware simulation shows the program data transfer rate can be reduced to nearly one-third of the original.
作者 琚新刚
出处 《河南教育学院学报(自然科学版)》 2016年第1期10-12,共3页 Journal of Henan Institute of Education(Natural Science Edition)
基金 河南省科技攻关重点项目(142102210422)
关键词 拜尔模式 数字视频接口 数据压缩 状态机 可编程逻辑器件 Bayer pattern digital visual interface data compression state machine programmable logic device
  • 相关文献

参考文献4

  • 1威尔逊.FPGA设计实战[M]杜生海,译.北京:人民邮电出版社,2009:34—36.
  • 2TheImagingSourceEuropeGmbH.彩色CCD相机工作原理介绍[EB/OL].2008—03—28[2015—10—12].http://www.opticsky.cn/read-htmtid-22111-page-e-fpage5.html.
  • 3崔丽杰,张彦军,刘文怡.基于FPGA和DSP的图像压缩系统设计[J].计算机测量与控制,2014,22(10):3423-3425. 被引量:4
  • 4夏金军.应用FPGA的高速数据采集的设计与实现[D].西安:西安电子科技大学,2009.

二级参考文献6

共引文献3

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部