期刊文献+

面向非全互连3D NoC的低开销容错路由算法 被引量:2

Low Hardware Overhead,Fault-tolerant Routing Algorithm in Vertically Partially Connected 3D NoC
下载PDF
导出
摘要 由于TSV通道具有高硬件代价和低可靠性的缺点,限制TSV通道数量的非全互连3D NoC得到广泛研究.在非全互连3D NoC中,路由器需要维护TSV表以助数据包在层间传输时找到可用的TSV通道.现有研究的TSV表存储了整个层面内的TSV通道,具有高硬件开销、高重构代价的缺点.因此,提出新的TSV表存储策略,仅需存储距离路由4个端口最近的TSV位置和距离.同时考虑到由于TSV分布的非均匀性,数据包在传输过程中可能在TSV附近的路由造成拥塞,文中提出了基于新TSV表的具有拥塞感知的容错路由算法.实验结果表明,在高注入率条件下该算法比Elevator-First算法具有更好的网络传输性能,且在故障率达到50%时仍能保证75%的数据包接收率. Because of the cost and reliability issues of TSV,the vertically partially connected 3D NoC with the limit number of TSVs has been researched widely. Router should maintain TSV table which helps packet to find TSV in vertically partially connected 3D NoC. The current TSV table saves TSVs of the whole layer but the cost of hardware and reconfiguration is relatively high. So this pa- per proposes a new TSV table that only records 4 TSVs which have the smallest distance to each port of router. Due to the fact that the distribution of TSV is heterogeneous, the congestion may occur in the router around TSVs. So this paper also proposes a fault-tolerant routing algorithm with congestion-awareness based on the new TSV table. The experimental results show that the performance of this algorithm is better than Elevator-First algorithm under the high packet injection rate. Additionally, this algorithm can provide 75% packet reception when the fault rate is 50%.
出处 《小型微型计算机系统》 CSCD 北大核心 2017年第4期791-796,共6页 Journal of Chinese Computer Systems
基金 国家自然科学基金面上项目(61572520)资助
关键词 3D NOC TSV表 容错路由 拥塞感知 3D NoC TSV table fault-tolerant routing congestion-awareness
  • 相关文献

参考文献1

二级参考文献15

  • 1欧阳一鸣,余雅琼,郭凯.基于同构片上网络拓扑可重构的容错硬件结构[J].计算机研究与发展,2010,47(S1):164-168. 被引量:2
  • 2张磊,李华伟,李晓维.用于片上网络的容错通信算法[J].计算机辅助设计与图形学学报,2007,19(4):508-514. 被引量:18
  • 3Sheibanyrad A. Pet rot F.Jantsch A. 3D-Integration for NoC-based SoC architectures[M]. Berlin: Springer Press. 2011: 115-145.
  • 4Pavlidis V F. Friedman E G. 3 -D topologies for networks-on?chip[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2007. 15(10): 1081-1090.
  • 5Feero B S. Pande P P. Networks-on-chip in a three?dimensional environment: a performance evaluation[J]. IEEE Transactions on Computers. 2008. 58(1): 32-45.
  • 6Davis W R. WilsonJ. Mick S. et al. Dernystifying 3D ICs: the pros and cons of going vertical[J]. IEEE Design &. Test of Computers. 2005. 22(6): 498-510.
  • 7Young A. Perspectives on 3D-IC technology[C] /I Proceedings of Annual Conference on 3D Architectures for Semiconductor Integration and Packaging. NewJersey, Cite Seer Press. 2005.
  • 8Bahmani M. Sheibanyrad A. Perrot F. et al. A 3D-NoC router implementation exploiting vertically-partially-connected topologies[C]// Proceedings of IEEE Computer Society Annual Symposium on VLSI. Los Alamitos: IEEE Computer Society Press. 2012: 9-14.
  • 9Bartzas R. Skalis N. Siozios K. et al. Exploration of alternative topologies for application-specific 3D networks-on chip COL].[2013-01-23J. http://proteas.microlab.ntua.gr/ ksiop/pdf/wasp2007. pdf.
  • 10FlichJ. Rodrigo S. DuatoJ. An efficient implementation of distributed routing algorithms for NoCs[C]//Proceedings of the 2nd ACM/IEEE International Symposium on Networks-on-Chip. Los Alamitos: IEEE Computer Society Press. 2008: 876.

共引文献10

同被引文献2

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部