期刊文献+

一种基于FPGA的DisplayPort高速接口设计

下载PDF
导出
摘要 目前各大电视、显示器厂商的DisplayPort高速接口方案主要是采用基于台湾晨星或者ST等DisplayPort处理芯片设计的,而采用该方案若需要增加新的图像数据传输功能或者改变某一项性能却显得尤为困难。本文采用基于FPGA的DisplayPort高速接口设计方案,即利用FPGA将设计DisplayPort的高速接收接口与发送接口,实现将一幅分辨率为3840×2160的4K图像信号通过DisplayPort接口接收,并将图像数据通过DDR3控制模块保存到SDRAM芯片中,最终从DisplayPort接口的发送端发送到高清4K高清显示器进行显示。本文详细介绍了DisplayPort数据处理硬件电路过程,并介绍了FPGA的DDR3设计及DisplayPort接口程序设计流程,最后通过试验验证设计的正确性。
机构地区 遵义师范学院
出处 《电子制作》 2017年第13期23-27,共5页 Practical Electronics
基金 项目基金号:黔科合LH字【2015】7008
  • 相关文献

参考文献4

二级参考文献8

  • 1Eric Bogatin.Signalty Integrity: Simplified.Prentice Hall PTR, 2003.
  • 2周杰.高速PCB设计的布局布线优化方法[J].电子工程专辑,2003,8.
  • 3Hall,S.H.,Hall,G.W.,and McCall,J.A.High Speed Digital System Design.Hoboken,NJ:John Wiley and Sons,2000.
  • 4Johnson,Howard,and Graham,Martin.High Speed Digital Design.Upper Saddle River,NJ:Prentice Ha11,1993.
  • 5视频标准电子协会.VESA displayport standard version l, revision I a.video electronics standards association[ S]. 2008.
  • 6ST Product Workgroup. STDP602x triple input LCD controller for WSX- GA + and XUXGA applications[ EB/OL]. [2013-03-08]. http://www. stmicroelectronics, com. cn/st-web-ui/static/active/en/resource/techni- cal/document/data_brief/CDO0219952, pdf.
  • 7ST Product Workgroup. DisplayPort transmitter [ EB/OL]. [ 2013-03 - 08 ]. http ://www. stmicroelectronics, com. c,r/st- web- ui/static/active/ en/resource/technicaL/document/data_brief/CD00238573, pdf.
  • 8陈卫东.基于DisplayPort接口的显示设备设计[J].液晶与显示,2010,25(1):85-89. 被引量:4

共引文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部