期刊文献+

一种基于FPGA的实时光纤环网通信技术 被引量:2

FPGA-based Real-time Communication of Fiber Ring-net
下载PDF
导出
摘要 针对多变流器系统中控制系统通信拓扑架构的难题,文章提出了一种基于FPGA的实时光纤环网通信技术,采用单向光纤环网拓扑大幅提高了控制器通信端口设备扩展能力;同时,通过采用基于曼彻斯特编码的复合型长数据帧结构、节点自动延迟补偿、从节点自动排序数据上传、节点自动递增编址等技术,解决了传统环网在通信效率、数据延迟、动作一致性和地址管理等方面存在的问题。通过所搭建的三变流器光纤环网实验平台,验证了设计方案的有效性和安全性。 It proposed a kind of fiber ring-net which adopts ring topology to solve the problem of the communication topology for multi-converter control unit. The method can also enhance the extension ability of the control unit. Meanwhile, a ring-net protocol was presented using a series of technology including bounded frame, automatic delay compensation, bit synchronization, slave automatic queue response, auto-increasing addressing to the problem of communication efficiency, data delay, action synchronization and slave address management for the previous ring-net. Finally, an experimental fiber ring-net platform including three converters was established to prove the feasibility of the proposed algorithm
出处 《控制与信息技术》 2018年第1期71-75,80,共6页 CONTROL AND INFORMATION TECHNOLOGY
关键词 光纤环网 FPGA 接入控制 自增编址 同步补偿 fiber ring-net FPGA access control auto-incrementing addressing synchronous compensation
  • 相关文献

同被引文献11

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部