期刊文献+

新思科技Fusion技术助力三星7LPP EUV工艺降低功耗、缩小面积并提高性能

下载PDF
导出
摘要 新思科技近日宣布,新思科技Design Platform Fusion技术已通过三星认证,可应用于其7nm低功耗+(LPP-Low Power Plus)工艺的极紫外(EUV)光刻技术。
出处 《中国集成电路》 2018年第8期4-4,共1页 China lntegrated Circuit
  • 相关文献

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部