期刊文献+

基于基片集成波导的高速电子系统互连技术 被引量:1

Substrate integrated waveguide interconnects in high-speed electronic systems
原文传递
导出
摘要 人类社会正迎来大数据时代,对数据传输速率的要求越来越高,迫切需要发展高集成度、高速率的新型互连技术,满足每秒太比特甚至更高速率数据传输需求.传统平面集成互连技术,如微带线、带状线、共面波导等,采用开放式结构,受带宽与损耗限制,其时延、衰减、串扰等信号完整性问题在数据速率达到每秒吉比特以上时非常严重,无法满足传输需求.为了提高传输速率,在传统互连技术基础上,可采用串行链路与差分技术,均衡与预加重技术等,但仍无法从根本上解决互连的高速数据传输问题.基片集成波导技术作为一种新型的平面集成互连结构,兼具传统平面集成互连的易集成与波导的宽频带、低损耗与低串扰优点,近些年来逐渐在高速互连技术中得到应用,实现了高速率的数据传输.本文详细介绍了各种新型基片集成波导互连的物理结构与传播特性、传输模式与机制,以及已实现的数据传输速率,其中包括了作者近几年的一些最新研究成果.按照传输模式不同,基片集成波导互连主要可以分为3类:TE模式的基片集成波导互连(substrate integrated waveguide,SIW)、TEM模式的基片集成同轴互连(substrate integrated coaxial line,SICL),以及混合模式的基片集成波导互连.TE模式SIW互连为带通信道,需对基带信号进行调制方可进行传输.SIW互连系统引入调制技术增加了传输复杂度,但多种调制技术的灵活运用可以增加信道利用率,从而提高数据传输速率.SICL互连采用TEM模式,不需调制解调,并且准封闭式结构保证了良好的信号完整性.混合模式的SIW互连通过共享导体或介质,集成了SIW与其他结构互连,可同时传输TEM模式与TE10模式.按照物理通道数分类,基片集成波导互连又可分为单物理通道单路信号传输、单物理通道多路信号并行传输、多物理通道多路信号并行传输.单物理通道单路信号传输,采用单个物理信道,基于单模或多模方式传输一路信号.单物理通道多路信号传输,则采用单个物理信道,通过多种模式或复杂调制技术传输多路信号.多物理通道多路信号并行传输,采用多个物理信道,基于单模或多模方式并行传输多路信号.各种新型基片集成波导互连的提出,为实现高速率的数据传输提供了关键技术与解决方案. The big data era has arrived, and the requirements for high-speed data transmission continue to increase. It is urgent to develop new types of ultra high-speed and high-density interconnects to realize Terabit and even higher data transmission. Conventional planar interconnects such as microstrip lines, strip lines, and coplanar waveguides are in the form of open structures. Owing to the bandwidth and loss limitation, their signal integrity problems such as delays, attenuation, and crosstalk are severe and cannot transmit high-speed data when the data transmission rate is higher than a Gigabit. Based on conventional planar interconnects, Ser Des(Serializer-Deserializer), differential lines, equalization, and pre-emphasis techniques were developed to improve the transmission performance. However, these techniques could not radically solve interconnect problems in highspeed data transmission. Substrate integrated waveguides(SIWs), as a new kind of planar interconnect, take advantage of the easy integration of conventional planar interconnects and the high bandwidth, low loss, and low crosstalk of waveguides. SIW interconnects have been gradually applied to realize high-speed data transmission.This paper presents all kinds of SIW interconnects, their physical structures, propagation performance, transmission modes and mechanisms, and data transmission rates in detail. Recent research studies of the authors are also introduced. According to the transmission mode, SIWs can be classified into three types: SIWs with TE mode, substrate integrated coaxial lines(SICLs) with TEM mode, and SIWs with mixed modes. The SIWs with TE mode have band-pass and hence base-band signals that should be modulated before transmission and demodulated after transmission. The introduction of modulation and demodulation techniques in SIWs increases the complexity of the transmission systems but can also improve the channel utilization and increase the data transmission rate. An SICL does not need modulation and demodulation since it propagates the TEM mode and has good signal integrity performance owing to its quasi-closed structure. SIWs with mixed modes integrate the SIW and other types of interconnects to simultaneously transmit TE mode and TEM mode by sharing conductors or media. According to the number of channels, SIWs can transmit single-channel signals through one physical channel, multichannel signals through one physical channel, or multichannel signals through multiple physical channels. Single-channel signal transmission through one physical channel uses one physical channel to transmit single-channel signals in single or multiple modes. Multichannel signal transmission through one physical channel uses one physical channel to transmit multichannel signals with complicated modulation techniques or multiple modes. Multichannel signal transmission through multiple physical channels uses multiple physical channels with single or multiple modes based on parallel data transmission. All kinds of new planar interconnects based on SIWs provide key techniques and solutions for high-speed data transmission.
作者 李晓春 毛军发 Xiaochun LI;Junfa MAO(School of Electronic,Information and Electrical Engineering,Shanghai Jiao Tong University,Shanghai 200240,China)
出处 《中国科学:信息科学》 CSCD 北大核心 2018年第9期1165-1182,共18页 Scientia Sinica(Informationis)
基金 上海市教育委员会科研创新计划(批准号:2017-01-07-00-02-E00059) 国家自然科学基金优秀青年科学基金(批准号:61522113)和国家自然科学基金面上基金(批准号:61771311)资助项目
关键词 基片集成波导 基片集成同轴线 互连 TEM模式 TE模式 高速数据传输 substrate integrated waveguides substrate integrated coaxial line interconnects TEM mode TEmode high-speed data transmission
  • 相关文献

参考文献3

二级参考文献34

  • 1Paolo A, Luca P, Wu K, et al. Current and future research trends in Substrate integrated waveguide technology[J]. Radio Engineering, 2009, 18(2):201-209.
  • 2Deutsch A, Smith H, Surovic C, et al. Frequency-dependent crosstalk simulation for on-chip interconnections[J]. IEEE Trans, 1999, 22(3): 292-308.
  • 3Goulette R R. The measurement of radiated emissions from integrated circuits[C]∥Proc IEEE International Symposium on Electromagnetic Compatibility (EMC). Anaheim, CA, USA: IEEE, 1992: 340-345.
  • 4Havemann R, Hutchby J. High-performance interconnects: An integration overview[J]. Proc IEEE, 2001,89(5): 586-601.
  • 5Suntives A, Abhari R. Design and characterization of the EBG waveguide-based interconnects[J]. IEEE Trans, 2007, 30(2): 163-170.
  • 6Suntives A, Abhari R. Experimental evaluation of high-speed data transmission in a waveguide based interconnect[C]∥Proc 15th 2006 IEEE Electrical Performance of Electronic Packaging. Scottsdate, AZ: IEEE, 2006: 269-272.
  • 7Dousset D, Ke W. Millimeter wave broadband transition of substrate integrated waveguide to rectangular waveguide[J]. Electron Lett, 2010, 46(24): 1610-1611.
  • 8Maurizio B, Marco P, Ke W, et al. On the losses in substrate integrated waveguides and cavities[J]. International Journal of Microwave and Wireless Technology, 2009,1(5):395-401.
  • 9S. A. Razavi,M. H. Neshati."Development of a low-profile circularly polarizedcavity-backed antenna using HMSIW technique,". IEEE Transactions on Antennasand Propagation . 2013
  • 10Bing Liu,Wei Hong,Yan Zhang,Hong Jun Tang,Xiaoxin Yin,Ke Wu.Half Mode Substrate Integrated Waveguide 180° 3-dB Directional Couplers. Microwave Theory and Techniques, IEEE Transactions on . 2007

共引文献4

同被引文献10

引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部