期刊文献+

基于DDS技术的扫频信号发生器设计与实现 被引量:7

Frequency Sweep Signal Generator Based on DDS Technology
下载PDF
导出
摘要 扫频信号发生器能够生成频率在限定范围内作线性变化而幅值恒定的信号,它在通信、雷达、电子对抗、导航等许多领域中都被广泛应用。现在被广泛应用的信号发生器大多采用直接数字频率合成(DDS)技术。基于DDS技术,在Altera公司的Quartus II软件的开发平台下,以FPGA器件为逻辑控制电路载体,采用硬件描述语言Verilog HDL编程实现频率、扫频带宽和步进频率可调节的扫频信号源设计。经验证,该设计方案可行,达到预期目标。 In this paper,based on DDS technology,in Altera corporation the Quartus II software development platform,with the FPGA device logic control circuit carrier,using Verilog HDL hardware description language programming to realize frequency sweep,frequency band width,and stepping frequency adjustable frequency sweep signal source design.
作者 朱科 Zhu Ke;
出处 《工业控制计算机》 2018年第10期47-49,共3页 Industrial Control Computer
关键词 扫频信号发生器 DDS FPGA VERILOG HDL frequency sweep signal generator DDS FPGA Verilog HDL
  • 相关文献

参考文献3

二级参考文献24

共引文献62

同被引文献64

引证文献7

二级引证文献11

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部