期刊文献+

XILINX FPGA内部BRAM资源的应用研究 被引量:4

Application Research on BRAM in XILINX FPGA
下载PDF
导出
摘要 XILINX FPGA内部拥有丰富的存储资源BRAM,可以大大简化设计的复杂度,在电子硬件模块设计中得到了广泛应用。BRAM可以用来设计单口存储器、双口存储器和FIFO等,满足数据缓冲和交换等应用需求。简要介绍BRAM的主要接口和功能,结合多年经验,重点描述了使用BRAM过程中异步访问接口设计考虑和双口存储器访问竞争处理等方面应注意的问题,为正确使用BRAM提供参考。 There are rich memory resources BRAM in XILINX FPGA,which can greatly simplify the complexity of design and has been widely used in the design of electronic hardware modules.BRAM can be used to design single port RAM,Dual port RAM and FIFO etc.to meet the system requirement for data buffer and exchange.The paper introduces briefly the main interface and function of BRAM.Then some rules and consideration on BRAM usage,such as asynchronous interface design and conflict avoidance of Dual port RAM,are presented based on practice to guide the application of BRAM.
作者 袁晓军 张亮 YUAN Xiao jun;ZHANG Liang(Xi′an Aeronautics Computing Technique Research Institute,AVIC,Xi′an 710068,China;Key Laboratory of Aviation Science and Technology on Integrated Circuit and Micro System Design,Xi′an 710068,China)
出处 《航空计算技术》 2018年第5期122-125,共4页 Aeronautical Computing Technique
基金 航空科学基金项目资助(2015ZC51036)
关键词 XILINX FPGA BRAM 地址建立/保持时间 双口竞争 XILINX FPGA BRAM address setup/hold time DPRAM conflict
  • 相关文献

同被引文献14

引证文献4

二级引证文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部