期刊文献+

写结初学者的FPGA入门指南(5)Verilog编程入门

原文传递
导出
摘要 从本期开始,我们将正式使用Verllog绘FPGA写代码。而要写的正是前面介绍过的组合逻辑、时序逻辑和状态机。而Verilog也将会是在这之后用于描述各种硬件的语言。
作者 张文挺
出处 《无线电》 2018年第11期68-71,共4页 Hands-on Electronics
  • 相关文献

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部