期刊文献+

基于FPGA的数字频率计设计

Design of Digital Frequency Meter Based on FPGA
下载PDF
导出
摘要 该文运用VHDL硬件描述语言进行数字频率计设计,频率计主要通过闸门控制电路产生计数周期为1s,清零周期为0.5s,2s为一个周期的测量信号频率。并通过计数器记录频率值,最后通过数码显示电路显示被测信号频率值。该文设计一个6位频率计,可以测量1~999999Hz的信号频率。 In this paper, by using VHDL hardware description language to design the digital frequency meter, frequency meter is mainly through the gate control circuit to produce cycle count as 1 s, reset cycle is 0.5 s, 2 s for a cycle of the signal frequency measurement. And through the counter recorded frequency values, and finally through the digital display circuit measured signal frequency value. This paper design a six bit frequency meter, 1~999999 Hz signal frequency can be measure.
作者 张聪 孟祥斌
出处 《电脑知识与技术(过刊)》 2014年第7X期4911-4912,4930,共3页 Computer Knowledge and Technology
关键词 闸门控制电路 计数器 寄存器 动态扫描显示电路 Gate control circuit Counter Register Dynamic scanning display circuit
  • 相关文献

参考文献2

二级参考文献5

  • 1陈光(礻禹),毛继宏,朴春勋,等.现代电子测试技术[M].北京:国防工业出版社,2000.
  • 2侯伯享,所新.VHDL硬化描述语言与数字逻辑电路设计.西安:西安电子科技大学出版社,1999
  • 3FLEX 10K Embedded Programmable Logic Device Family Data Sheet.2003:104-109.
  • 4姚若亚,马玲.等精度智能频率计[J].电子技术应用,1992,18(2):16-18. 被引量:10
  • 5包明,赵明富,郭建华.基于FPGA的高速高精度频率测量的研究[J].单片机与嵌入式系统应用,2003,3(2):31-33. 被引量:31

共引文献58

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部