期刊文献+

基于VHDL语言的单片机设计

下载PDF
导出
摘要 近年来,随着集成电路的快速发展,自动化的电子设计理念已成为我国各领域产业发展的主要内容,电子系统的自动化发展可以增进技术之间的相互促进和协同发展。VHDL语言是组成电子系统的基本元素,绝大多数工业设备都会应用相应的语言结构。基于此,本文将结合VHDL语言的设计理念,对单片机的设计内容进行深入研究。
作者 马成虎
机构地区 丽水学院
出处 《电子制作》 2014年第6X期40-40,共1页 Practical Electronics
  • 相关文献

参考文献2

二级参考文献4

  • 1刘开绪.数字式抢答器的设计与实现[J].电子工程师,2005,31(9):69-71. 被引量:7
  • 2Douglas L.Perry.电子设计硬件描述语言VHDL[M].北京:学苑出版社,1994..
  • 3侯伯亨 顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,1999..
  • 4郭勇.EDA技术基础[M]机械工业出版社,2005.

共引文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部