期刊文献+

基于FPGA的千兆UDP/IP协议栈的实现及其在高速图像传输中的应用 被引量:6

FPGA Implementation of Gigabit UDP/IP Protocol Stack and Its Application in Image Transmission
下载PDF
导出
摘要 设计在FPGA中实现了一套简化的千兆以太网UDP/IP协议栈,协议完全兼容IEEE802.3a千兆以太网标准,解决千兆以太网IP核移植性差和消耗FPGA资源量大的问题;设计FPGA采集CMOS摄像头图像数据的接口;利用UDP/IP协议栈完成采集的实时60f/s图像的传输;在wireshark上抓取图像数据,实验结果表明,该高速图像传输接口能够满足高速图像传输的设计预期。 The design implements a simplified set of Gigabit Ethernet UDP/IP protocol stacks in the FPGA.The protocol is fully compatible with the IEEE802.3 a Gigabit Ethernet standard,which solves the problems of poor portability of Gigabit Ethernet IP cores and large consumption of FPGA resources;Design the FPGA interface for collecting CMOS camera image data;use the UDP/IP protocol stack to complete the acquisition of real-time 60 f/s image transmission;capture the image data on wireshark,and the experimental results show that the high-speed image transmission interface can meet the design of high-speed image transmission expected.
作者 熊光阳 王野 李志茹 张维纳 Xiong Guangyang;Wang Ye;Li Zhiru;Zhang Weina(School of Electronic Engineering and Automation,Guilin University of Electronic Technology,Guangxi,Guilin,541004,China)
出处 《仪器仪表用户》 2020年第3期38-41,共4页 Instrumentation
关键词 FPGA UDP/IP协议 千兆以太网 图像传输 FPGA UDP/IP protocol gigabit ethernet image transmission
  • 相关文献

参考文献6

二级参考文献27

共引文献33

同被引文献57

引证文献6

二级引证文献5

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部