期刊文献+

基于28nm工艺的芯片时钟树研究 被引量:3

Research of Clock Tree Based on 28 nm Technology
下载PDF
导出
摘要 随着工艺的不断发展,芯片集成规模增大,工作频率不断增加,给传统的IC设计带来巨大的挑战。基于UMC 28 nm工艺,采用Innovus工具布局布线,重点描述了时钟树绕线方法、early clock方法以及useful skew的应用。研究表明,采用early clock方法可以有效地解决绕线拥塞问题,最终short数量从219减少到5,并且当时钟绕线采用双倍宽度、双倍间距,应用useful skew可以将setup最差违例从-0.088 ns优化为0 ns,减少eco迭代过程。 With the development of the IC process,the scale of chip integration enlarges and the operating frequency continues to increase,which bring great challenges to the traditional IC design.Herein,Cadence Innovus,based on UMC 28 nm process library,is used to achieve a physical design in place and route flow.The early clock flow,route method for clock tree and useful skew are described in detail.It results that early clock flow can solve congestion effectively,the number of short is reduced from 219 to 5.Worst negative slack changes from-0.088 ns to 0 ns with double width and double spacing when useful skew is applied.The number of eco is reduced.
作者 刘健 杨雨婷 江燕 张艳飞 LIU Jian;YANG Yuting;JIANG Yan;ZHANG Yanfei(East Technologies Inc.,Wuxi,Wuxi214072,China)
出处 《电子与封装》 2020年第7期44-47,共4页 Electronics & Packaging
关键词 28 nm工艺 useful skew early clock 时钟树综合 布局布线 Innovus工具 28 nm process useful skew early clock clock tree synthesis place and route Innovus
  • 相关文献

参考文献2

二级参考文献10

  • 1周凤亭,王胤翔,陆生礼.基于Astro的时钟树综合[J].电子器件,2005,28(1):192-195. 被引量:6
  • 2邓博仁,王金城,金西.基于深亚微米下时钟树算法优化的研究[J].半导体技术,2005,30(10):42-45. 被引量:2
  • 3CLEIND.CMOS集成电路版图一概念方法与工具[M].邓红辉,等译.北京:电子工业出版社,2006:1-19.
  • 4REAZ M B I, AMIN N, IBRAHIMY M I, et al. Zero skew clock routing for fast clock tree generation [C] //2008 Canadian Conf Elec Comp Engineer. Niagara Falls, ON, Canada. 2008: 000023-8.
  • 5KURSUNV,FRIEDMANEG.多电压CMOS电路设计[M].马俊婷,等译.北京:机械工业出版社,2008:16-39.
  • 6WANG K, XU Z-W. Synopsys prime power manual release U-2003. 06-QA [M]. New York: McGrawi- Hill Publishers, 2003.
  • 7AGARWAL A, ZOLOTOV V, BLAAUW D T. Statistical clock skew analysis considering intradie-process variations [J]. IEEE Trans Computer-Aided Design of IC and Systems, 2004,23 (8) : 1231-1241.
  • 8BURKIS J, Clock tree synthesis for high performance ASICs [C] // Fourth Annual IEEE Int ASIC Conf and Exhibit. Rochester, NY, USA, 1991 : 9.8.1-9.8.4,
  • 9Synopsys Inc. Astro user guide [ K]. 2005:434-436.
  • 10CHRISTOPHER S,JUDYS.IC mask design[M].北京:清华大学出版社,2004:4-15.

共引文献18

同被引文献14

引证文献3

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部