期刊文献+

一种外部存储器接口总线测试方法 被引量:2

下载PDF
导出
摘要 外部存储器接口(EMIF)是DSP的一种典型的高速并行接口。为了扩展DSP引脚资源,把FPGA挂在EMIF总线下,通过FPGA来实现DSP与其他外部设备相连。现阶段对EMIF接口的研究主要集中在读写时序的研究及软件实现,在测试性方面的研究较少。并且目前对总线的测试,一般是通过读写逻辑测试寄存器对总线信号进行简单测试。本文提出一种简单有效,可操作性强的测试方法,对EMIF接口总线数据线、地址线进行遍历测试,能够及时发现并且定位芯片在焊接过程中由于人工操作失误导致的相关硬件管脚粘连缺陷,且不需要额外硬件。
作者 李丹
出处 《电子世界》 2020年第11期119-120,共2页 Electronics World
  • 相关文献

同被引文献16

引证文献2

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部