期刊文献+

基于UVM的AHB-UART模块验证 被引量:6

AHB-UART Verification Based on UVM
原文传递
导出
摘要 对于SOC上应用十分普遍的AMBA总线而言,传统的验证方法已经远不能满足需求,使用UVM方法对AHB总线和UART模块之间的通信进行了统一的功能验证.验证结果覆盖了所有的测试点,并表明该验证平台具有很好的可重用性. With the continuous expansion of integrated circuit's scale,the complexity and workload of the SOC(system on chip)verification is increasing apace.Verification is becoming the most important link in the development of a chip.For the AMBA(advanced microcontroller bus architecture)protocol which is widely used in the SOC,traditional method cannot meet the requirement of verification,the mainstream verification methodology UVM(universal verification methodology)is introduced and verified the function of AHB—UART with this methodology.The result of the verification covers all the test points and illustrates that the verification platform is well reusable.
作者 王兴耀 戴宇杰 Wang Xingyao;Dai Yujie(College of Electronic Information and Optical Engineering,Nankai University,Tianjin 300350,China)
出处 《南开大学学报(自然科学版)》 CAS CSCD 北大核心 2020年第5期82-86,共5页 Acta Scientiarum Naturalium Universitatis Nankaiensis
关键词 UVM AHB总线 UART 覆盖率 可重用 UVM AHB bus U ART coverage reusable
  • 相关文献

参考文献2

二级参考文献15

  • 1熊志辉 ,李思昆 ,陈吉华 ,张鲁峰 .基于平台的SoC系统建模方法研究[J].计算机工程与科学,2005,27(8):56-59. 被引量:2
  • 2Accllera.UVM1.1 user guide[K].San Prancisco:Accuera,2011:49-78.
  • 3CHRISTIAN S.System Verilog for verification[M].2nd ed.New York:Springer,2005:2-3.
  • 4CADENAS O,TODOROVICH E.Experiences applying OVM 2.0 to an 8 b/10 b RTL design,programmable logic[R].2009:1-8.
  • 5Mentor Graphics.UVM cookbook[K].Mentor Graphics Corporation,2014:13-15.
  • 6NXP Semiconductors.I2C-bus specification and user manual[K].Nertherlands:NXP,2012:3-4.
  • 7PRANAY S,DEEPAK C,SUJAY D.UVM based STBUS verification IP for verifying So C architectures[C]∥Proceedings of the 18thInternational Symposium on VLSI Design and Test.Hsinchu,Taiwan,China,2014:4-5.
  • 8KYOUNGROK C,HYEON S,TAE C.Analysis of system bus on So C platform using TSV interconnection[J].Quality Electronic Design,2012(4):2-4.
  • 9FRANCESCONI J,AGUSTIN R J,JULIAN P M.UVM based testbench architecture for unit verification[C]∥Proceedings of Argentine Conference on Micro-Nanoelectronics Technology and Applications.Mendoza,Argentina,2014:89-94.
  • 10JOHNSON N.Uvm-utest[EB/OL].[2014-05-10].http://www.agilesoc.com.

共引文献20

同被引文献16

引证文献6

二级引证文献9

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部